Digitalna VHDL simulacija sa TINACloudom

VHDL (VHSIC (integrisani krugovi vrlo velike brzine) Jezik opisa hardvera) je standardni opis hardvera IEEE-a koji koriste elektronski dizajneri za opisivanje i simulaciju njihovih čipova i sistema prije izrade.

TINACloud sada uključuje moćan digitalni VHDL simulator. Bilo koji digitalni sklop u TINACloud-u može se automatski pretvoriti u VHDL kôd i analizirati kao VHDL dizajn. Pored toga, možete analizirati širok raspon hardvera dostupnog u VHDL-u i definirati vlastite digitalne komponente i hardver u VHDL-u. Velika prednost VHDL-a nije samo u tome što je IEEE standard, već i u tome što se može automatski realizirati u programabilnim logičkim uređajima kao što su FPGA i CPLD.

TINACloud može generirati sintetizirani VHDL kôd zajedno s odgovarajućom UCF datotekom ako je potvrdni okvir Generiraj sintetizirajući kôd postavljen u izborniku Analiza / Opcije. Stvorene VHD i UCF datoteke možete spremiti pomoću naredbe „Napravi VHD i UCF datoteku“ u izborniku T&M. Možete pročitati ove datoteke pomoću Xilinx-ovog besplatnog uslužnog programa Webpack, generirati datoteku bit-stream koja opisuje implementaciju dizajna, a zatim je otpremiti na Xilinx FPGA čipove.

Primjer: Sljedeći krug je brojač, definiran u VHDL.

Pokrenite simulaciju na mreži pomoću opcije TINACloud klikom na sliku

Analiza trčanja / Digitalna VHDL simulacija, daje sljedeći dijagram:

Digitalna VHDL simulacija, slika 3

Ako kliknete na blok „Brojač“ i u HDL liniji pritisnete dugme ..., vidjet ćete VHDL kod koji definira brojač

biblioteka ieee; koristite ieee.std_logic_1164.all; koristiti ieee.std_logic_arith.all; -------------------------------------------------- - Brojač ENTITY je port (sat: u std_logic; jasno: u std_logic; QA, QB, QC, QD: van std_logic); END brojač; -------------------------------------------------- - ARHITEKTURA brojač je signal Pre_Q: nepotpisan (3 do 0); BEGIN - opis ponašanja procesa brojača (sat, brisanje) započinje ako je clear = '1', a zatim Pre_Q <= "0000"; elsif (clock = '1' i clock'event) zatim QA <= Pre_Q (0); QB <= Pre_Q (1); QC <= Pre_Q (2); QD <= Pre_Q (3); Pre_Q <= Pre_Q + 1; kraj ako; završiti proces; END behv; 

U TINA možete promijeniti VHDL kod i vidjeti učinak odmah.

Promenite liniju Pre_Q <= Pre_Q + 1; gore do Pre_Q <= Pre_Q + 2; i zatvorite dijalog.

Sada simulacija analize / digitalnog VHDL-a daje sljedeći dijagram:

Digitalna VHDL simulacija, slika 4
    X
    Drago mi je što ste došli DesignSoft
    Omogućuje razgovor ako vam je potrebna pomoć oko pronalaska pravog proizvoda ili vam je potrebna podrška.
    u wpchatıco