Mischmodus-Simulation

Spice - HDL - MCU-Co-Simulation

Mischmodus-Simulation

Spice - HDL - MCU-Co-Simulation

Jump to TINA Main Page & General Information 

TINA-Version 8 und höher enthalten eine neue leistungsstarke Mixed-Mode-Simulations-Engine. Es basiert auf dem XSPICE Mixed-Mode-Algorithmus, erweitert um MCU- und VHDL-Komponenten. In Ihren Schaltkreisen können Sie beliebige analoge oder digitale Komponenten von TINA, einschließlich Mikrocontrollern (MCUs) und Makros, mit mischen Spice oder VHDL-Inhalt. Sie können diese Komponenten im laufenden Betrieb zusammen mit dem Code in den MCUs ändern. TINA analysiert die analogen Teile in analogen, die digitalen Teile in digitalen und erstellt automatisch die Schnittstellen zwischen den Komponenten. Dies sorgt für Synchronisation und schnelle Konvergenz.

Lassen Sie uns anhand einiger Beispiele einige Verwendungsmöglichkeiten dieses Modus untersuchen.

Wellenformgenerierung mit VHDL und Spice Teilschaltungen

Die folgende Schaltung erzeugt ein analoges Sinus- oder Sägezahnsignal in Abhängigkeit vom Status des linken SW-MODE-Schalters.

Mischmodus-Simulation, Bild 1

Die Digital Wave-Box auf der linken Seite der Schaltung enthält den VHDL-Code mit einer Nachschlagetabelle (Sinus_LUT ) für die Sinuswelle und einen Zähler für das Sägezahnsignal.

Der wesentliche Teil des VHDL-Codes ist:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

Der digitale Ausgang des Zählers wird in einem 5-Bit-DA-Wandler von TINA, der in der Mitte der Schaltung gezeigt ist, in ein analoges Signal umgewandelt.

Der DAC-Sinuswellenausgang muss mit einem Tiefpassfilter gereinigt werden. Wir werden eine verwenden Spice Opamp-Modell des TL081 in einer Sallen- und Key-Tiefpassfilterkonfiguration. Klicken Sie im Eigenschaftsdialog auf die Schaltfläche Makro eingeben, und TINA öffnet das Makro. Sie können das überprüfen und bei Bedarf ändern Spice Code innerhalb des Makros.

Hier sind die endgültigen Wellenformen der vollständigen Schaltung, einschließlich der fünf Zählerausgangswellenformen. SW_MODE befindet sich im High-Zustand und wählt das Sägezahnsignal aus.

Wenn wir den Schalter SW-MODE auf Low setzen und die Transientenanalyse erneut ausführen, lauten die Wellenformen:

Um den Effekt des Analogfilters zu sehen, löschen Sie die Kurven d0 in d4 aus dem Diagramm, indem Sie auf die Kurven klicken und die Entf-Taste drücken. 

MCU-gesteuerter SMPS-Schaltkreis

Der Mixed-Mode-Simulator von TINA erlaubt nicht nur MCUs, sondern auch beliebige lineare oder nichtlineare Teile in TINAs Bibliotheken. Betrachten wir als Beispiel die folgende Schaltung, die einen Gleichspannungswandler realisiert, der 5V-Gleichstrom in 13V-Gleichstrom umwandelt und im Boost-Modus arbeitet.

Die folgenden Wellenformen zeigen, wie die analogen Teile und die MCU in TINA zusammenwirken.

Mischkreisbeispiel bestehend aus einfachen analogen und digitalen Komponenten

    X
    Ich bin froh, dich bei zu haben DesignSoft
    Lassen Sie uns chatten, wenn Sie Hilfe bei der Suche nach dem richtigen Produkt benötigen oder Unterstützung benötigen.
    wpChatIcon