Simulación de modo mixto

Spice - HDL - Co-simulación de MCU

Simulación de modo mixto

Spice - HDL - Co-simulación de MCU

Jump to TINA Main Page & General Information 

La versión 8 de TINA y superior incluyen un nuevo motor de simulación de modo mixto. Se basa en la XSPICE Algoritmo de modo mixto, ampliado con componentes MCU y VHDL. En sus circuitos puede mezclar libremente cualquier componente analógico o digital de TINA, incluidos microcontroladores (MCU) y macros con Spice o contenido VHDL. Puede modificar estos componentes sobre la marcha junto con el código en las MCU. TINA analizará las partes analógicas en analógico, las partes digitales en digital y creará automáticamente las interfaces entre los componentes. Esto asegura la sincronización y la rápida convergencia.

Exploremos algunos de los usos de este modo a través de algunos ejemplos.

Generación de forma de onda con VHDL y Spice subcircuitos

El siguiente circuito genera una señal analógica sinusoidal o de diente de sierra en función del estado del interruptor SW-MODE izquierdo.

Simulación de modo mixto, imagen 1

El cuadro de Digital Wave a la izquierda del circuito incluye el código VHDL con una tabla de búsqueda (Sine_LUT ) para la onda sinusoidal y un contador para la señal de diente de sierra.

La parte esencial del código VHDL es:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

La salida digital del contador se convierte en una señal analógica en el convertidor DA de bit de 5 de TINA que se muestra en el centro del circuito.

La salida de onda sinusoidal DAC debe limpiarse con un filtro de paso bajo. Utilizaremos un Spice Modelo opamp del TL081 en una configuración de filtro de paso bajo Sallen y Key. Presione el botón Entrar macro en el cuadro de diálogo de propiedades y TINA abrirá la macro. Puede revisar y, si es necesario, modificar el Spice Código dentro de la macro.

Aquí están las formas de onda finales del circuito completo, incluidas las cinco formas de onda de salida de contador. SW_MODE está en estado Alto, seleccionando la señal de diente de sierra.

Si cambiamos el interruptor SW-MODE a Bajo y ejecutamos el análisis Transitorio nuevamente, las formas de onda son:

Para ver el efecto del filtro analógico, elimine las curvas d0 a d4 del diagrama haciendo clic en las curvas y presionando la tecla Supr. 

Circuito SMPS controlado por MCU

El simulador de modo mixto de TINA no solo permite MCU, sino también cualquier parte lineal o no lineal en las bibliotecas de TINA. Como ejemplo, estudiemos el siguiente circuito, que realiza un convertidor CC-CC, que convierte 5V DC en 13V DC y opera en modo de refuerzo.

Las siguientes formas de onda demuestran cómo las partes analógicas y la MCU interactúan en TINA.

Ejemplo de circuito mixto formado por componentes analógicos y digitales simples.

    X
    Bienvenido a Diseño suave
    Vamos a chatear si necesita ayuda para encontrar el producto adecuado o necesita asistencia.
    wpChatIcon