Verilog A et AMS Simulation

Verilog A et AMS Simulation

Jump to TINA Main Page & General Information 

Le langage le plus largement utilisé pour décrire les circuits électroniques et les modèles d’appareils est le Spice format de netlist (1973). Cependant, le Spice Les netlists sont souvent difficiles à lire et à comprendre et manquent de nombreuses fonctionnalités de langages de programmation dont les ingénieurs auraient besoin pour créer des modèles et simuler.

Le langage Verilog-A (1995), relativement nouveau, fournit une méthode alternative avec une syntaxe facile à lire de style C comme le langage de programmation. Ainsi, Verilog-A est un successeur approprié du SPICE netlists pour décrire les topologies de circuit.

Le langage Verilog-AMS est une méthode encore plus sophistiquée de description des circuits électroniques, contenant à la fois des composants analogiques et numériques. Comme nous l'avons observé précédemment, Verilog-AMS est un dérivé du Verilog purement numérique, complété par le Verilog A purement analogique et une interface pour la connexion des parties analogiques et numériques.

La plupart des bibliothèques de périphériques de TINA sont en Spice format de liste d'interconnexions. Cependant, vous pouvez déjà créer et importer des modèles et placer des macros TINA au format Verilog-A et Verilog-AMS. Vous pouvez trouver plusieurs exemples de langage, modèles d'appareils et circuits dans le Exemples\HDL\Verilog-A et Exemples\HDL\Verilog-AMS dossiers de TINA.

Exemple Verilog-AMS:

Le circuit suivant contient une macro de convertisseur analogique-numérique (DAC) avec interface SPI (Serial Peripheral Interface) et une macro de banc de test générant le signal SPI numérique. Le modèle de DAC est défini dans Verilog AMS. Il est intéressant de noter que le banc de test sur le côté gauche est écrit en VHDL, ce qui est un exemple de mélange de différents HDL, mais nous nous concentrerons ici sur la macro Verilog AMS sur le côté droit. Ce circuit (DAC VAMS.TSC) est inclus dans le dossier EXAMPLESVerilog AMS de TINA.

Dans TINA, vous pouvez voir le code Verilog AMS du modèle DAC si vous double-cliquez sur la macro DAC et appuyez sur le bouton Entrer macro.

 Une partie du code est indiquée ci-dessous:

Nous n'entrerons pas dans une analyse détaillée du code. Nous voulons simplement montrer que dans la première partie montrée ci-dessus, le module DA Verilog convertit le signal série en signal analogique (VOUTA).

À la fin de la macro ci-dessus (dans TINA, vous pouvez faire défiler vers le bas), le module DA est appelé et le signal est lissé par un simple amplificateur opérationnel et un filtre RC à l'aide des instructions Verilog A. Vous pouvez également voir la définition du condensateur dans le fragment de code ci-dessus.

    X
    Heureux de vous avoir à DesignSoft
    Permet de discuter si vous avez besoin d'aide pour trouver le bon produit ou si vous avez besoin d'assistance.
    wpChatIcon