Digitális áramkör-szimuláció

Hatékony algoritmusok digitális áramkörszimulációhoz

Digitális áramkör-szimuláció

Hatékony algoritmusok digitális áramkörszimulációhoz

Jump to TINA Main Page & General Information 

VHDL áramkör szimuláció

Verilog áramkör szimuláció

MCU áramkör szimuláció

TINA  program tartalmaz egy hatékony digitális áramkörszimulátort is. A TINA megoldja az egyes csomópontokra érvényes logikai állapotegyenleteket, majd kijelzi az eredményeket. Az áramkör működését lépésről lépésre előre és visszafelé is követhetjük, de használhatjuk a TINA automatikus futtatás üzemmódját is. Az esemény vezérelt digitális programmag nyomon követi a belsõ állapotokat is, lehetõvé téve a digitális hazárd jelenségek tanulmányozását. Ezenkívül a TINA a digitális áramkörök teljes idődiagramját is előállítja. A digitális jelek egy speciális, logikai analizátorhoz hasonló formában jelennek meg, mindegyik jel külön koordinátarendszerben. A digitális szimuláció eredményét a TINA Logikai Analizátor virtuális műszerén is megtekintheti. A TINA digitális komponensei közé tartoznak az olyan alapvető digitális alkatrészek, mint a Gates, a Flip-Flops, a Logic IC és a komplex digitális komponensek, mint például az MCU, AD és DA átalakítók, VHDL és Verilog komponensek. Természetesen a digitális és analóg komponenseket együtt is vizsgálhatja. Erről bővebben olvashat a következő oldalon: Vegyes áramkör szimuláció.

Alap digitális áramkörök

A TINA komponenskönyvtárai számos a digitális kapuktól a digitális IC-kig terjedő digitális komponenseket tartalmaznak. 
Kapuk (Gates) : Buffer, háromállapotú Buffer, inverter, Schmidt inverter, AND (2, 3 és 4 bemenetek), OR (2, 3 és 4 bemenetek), NAND (2, 3 és 4 bemenetek), NOR (2 , 3 és 4 bemenetek) és XOR  
Flip-flops: D retesz (latch), D flip-flop, SR flip-flop, JK flip-flop  
Digitális IC-k: 74000 logikai család, 4000 logikai család

Digitális áramkör szimuláció, kép 1
Digitális áramkör szimuláció, kép 2

A modern elektronikus áramkörök komplex logikai vezérlése gyakran programozható eszközöket, például mikrokontrollereket (MCU), FPGA-kat, ASIC-ket igényel. CPLD. SPLD-k stb. A TINA komponenskönyvtárai több mint 800 MCU-t tartalmaznak, míg a többi programozható eszközt a VHDL és Verilog hardverleíró nyelvek írják le, mindkettő elérhető a TINA-ban.

Mikrokontroller (MCU) áramkörök

A TINA program mikrokontrollerek széles választékát (PIC, AVR, Arduino, 8051, HCS, STM, ARM, TI-Tiva, TI-Sitara, Infineon-XMC) is tartalmazza, amelyek működését, a teljes áramkörrel együtt vagy önállóan, szimulálhatjuk, lépésenként követhetjük (debug funkció) illetve interaktív üzemmódban is tesztelhetjük. A beépített assembly fordítóprogram segítségével lehetőség van az "assembly" kód módosítására, valamint az eredmény azonnali megjelenítésére. A mikrokontrollerek C nyelvben is programozhatók, illetve használhatók lépésenkénti követésre (debug funkció) külső C-fordítók segítségével. További információt a mikrokontroller-áramkör szimulációjáról a TINA programmal a következő oldalon talál: Mikrokontroller áramkörök.

HDL szimuláció

A TINA tartalmazza a főbb analóg, digitális és vegyes típusú hardverleíró nyelveket: VHDL, Verilog HDL szimuláció. Verilog-A és Verilog AMS az analóg, digitális és vegyes jel analóg-digitális környezetek tervezésének ellenőrzésére. Az áramkörök mind a TINA vagy Xilinx könyvtárából megnyitott szerkeszthető HDL blokkokat, mind pedig más, önállóan összeállított vagy az internetről letöltött HDL alkotóelemet is tartalmazhatnak.) A TINA program a HDL-t nagy sebességű gépkódba fordítja a sebesség optimalizálása céljából. A HDL és a Spice makrókat és a TINA sematikus összetevőit szabadon kombinálhatja. Szintén szerkesztheti a HDL-források bármely HDL-összetevőjét, majd szimulálhatja és láthatja az eredményt azonnal. A beépített HDL hibakeresővel a HDL-kódot lépésről lépésre hajthatja végre, pontokat, megfigyelési pontokat, megjelenítő változó információkat stb.

HDL áramkörök szimulációjáról további információt az alábbi oldalakon talál: 

    X
    Üdvözöljük a Cégünk a DesignSoft Kft.
    Lehetővé teszi a csevegést, ha segítségre van szüksége a megfelelő termék megtalálásához vagy támogatásra.
    a wpchatıco