Vegyes módú szimuláció

Spice - HDL - MCU szimuláció

Vegyes módú szimuláció

Spice - HDL - MCU szimuláció

Jump to TINA Main Page & General Information 

A TINA 8 és magasabb verziói tartalmaznak egy fejlett mixed mód analízis modult. Az algoritmus az XSPICE mixed módú ütemezőjén alapul, kiegészítve VHDL és MCU elemekkel. Az áramkörökben szabadon keverhetjük a TINA analóg vagy digitális összetevőit, beleértve a mikrokontrollert (MCU) és a makrókat Spice vagy VHDL tartalommal. Az MCU-k kódjával együtt módosíthatja ezeket az összetevőket. Az áramkör analóg és digitális részeit az analóg illetve digitális szimulátor analizálja automatikusan létrehozva a megfelelő kapcsolatot ezen részek között. Ez a módszer biztosítja a szinkronizációt valamint a gyors konvergenciát.

Alább néhány példa a vegyes típusú szimulációra.

Hullámforma generálás VHDL és Spice aláramkörökkel

A következő áramkör egy analóg színusz -vagy egy fűrészfog jelet generál az SW-MODE kapcsoló állásától függően.

Vegyes módú szimuláció, kép 1

A bal oldalon lévő Digital Wave VHDL makró egy táblázatot tartalmaz Sine_LUT a szinusz függvény számára illetve egy számlálót a fűrészfogjel számára.

A VHDL-kód lényegi része:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

A digitális kimenet egy 5 bites DA konverter segítségével alakul át analóg jellé (DA converter elem).

A DA konverter analóg kimenetét egy aluláteresztő szűrővel (low pass filter) meg kell szűrni, erre a célra egy Spice TL081-es opamp elemet használunk Salley-Key topológiában. Nyomja meg az Enter Macro gombot a párbeszédablakban, és a TINA megnyitja a makrót. Megtekintheti és szükség esetén módosíthatja a Spice kódot a makróban.

Itt vannak a teljes áramkör végső hullámformái, beleértve az öt számláló kimeneti hullámformáját is. Az SW-MODE kapcsolóval fűrészfog jelet állítottunk be.

Ha az SW-MODE kapcsolót alacsonyra állítjuk és ismételten lefuttatjuk a Tranziens analízist, az eredmény a következő lesz:

Az analóg szűrő eredményeinek vizsgálatához töröljük a d0-d4 görbéket a Del gomb segítségével.  

MCU vezérelt SMPS áramkör

A a TINA áramkörökbe analóg elemek mellett MCU (mikrokontroller) elemeket is elhelyezhetünk. A következő példa egy DC-to-DC konvertert valósít meg. 5V-ból 13V-t állít elő (boost mode).

Az eredménygörbék mutatják az MCU elem és az analóg elemek közötti kapcsolatot.

Egyszerűbb analóg és digitális elemeket tartalmazó mixed módú áramkör

    X
    Örülök, hogy itt vagy Cégünk a DesignSoft Kft.
    Lehetővé teszi a csevegést, ha segítségre van szüksége a megfelelő termék megtalálásához vagy támogatásra.
    a wpchatıco