Simulazione in modalità mista

Spice - HDL - Co-simulazione MCU

Simulazione in modalità mista

Spice - HDL - Co-simulazione MCU

Jump to TINA Main Page & General Information 

La versione TINA 8 e successive includono un nuovo potente motore di simulazione in modalità mista. È basato sulla XSPICE algoritmo in modalità mista, esteso con componenti MCU e VHDL. Nei tuoi circuiti puoi mescolare liberamente qualsiasi componente analogico o digitale di TINA, inclusi microcontrollori (MCU) e macro con Spice o contenuto VHDL. È possibile modificare questi componenti al volo insieme al codice negli MCU. TINA analizzerà le parti analogiche in analogico, le parti digitali in digitale e creerà automaticamente le interfacce tra i componenti. Ciò garantisce la sincronizzazione e una rapida convergenza.

Esploriamo alcuni degli usi di questa modalità attraverso alcuni esempi.

Generazione di forme d'onda con VHDL e Spice sottocircuiti

Il seguente circuito genera un segnale sinusoidale o a dente di sega analogico in base allo stato dell'interruttore SW-MODE di sinistra.

Simulazione in modalità mista, immagine 1

La casella Digital Wave sulla sinistra del circuito include il codice VHDL con una tabella di ricerca (Sine_LUT ) per l'onda sinusoidale e un contatore per il segnale a dente di sega.

La parte essenziale del codice VHDL è:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

L'uscita digitale del contatore viene convertita in un segnale analogico nel convertitore 5 bit DA di TINA mostrato al centro del circuito.

L'uscita sinusoidale DAC deve essere pulita con un filtro passa-basso. Useremo a Spice modello opamp del TL081 in una configurazione di filtro passa basso Sallen e Key. Premere il pulsante Enter Macro nella finestra di dialogo delle proprietà e TINA aprirà la macro. È possibile rivedere e, se necessario, modificare il Spice codice all'interno della macro.

Ecco le forme d'onda finali del circuito completo, incluse le cinque forme d'onda di uscita del contatore. SW_MODE è nello stato alto, selezionando il segnale a dente di sega.

Se cambiamo l'interruttore SW-MODE su Low ed eseguiamo di nuovo l'analisi Transient, le forme d'onda sono:

Per vedere l'effetto del filtro analogico, eliminare le curve d0 da d4 dal diagramma facendo clic sulle curve e premendo il tasto Canc. 

Circuito SMPS controllato da MCU

Il simulatore di modalità mista di TINA non solo consente MCU, ma anche qualsiasi parte lineare o non lineare nelle librerie TINA. Ad esempio, studiamo il seguente circuito, che realizza un convertitore DC-DC, converte 5V DC in 13V DC e funziona in modalità boost.

Le forme d'onda sotto mostrano come le parti analogiche e l'MCU interagiscono in TINA.

Esempio di circuito misto costituito da semplici componenti analogici e digitali

    X
    Sono contento di averti qui DesignSoft
    Consente di chattare se è necessario aiuto per trovare il prodotto giusto o se è necessario supporto.
    il wpchatıco