סימולציה VHDL ב TINA כלול בכל הגירסאות

סימולציה VHDL ב TINA כלול בכל הגירסאות

VHDIC (VHSIC (מעגלים משולבים במהירות גבוהה מאוד) תיאור חומרה שפה) הוא תיאור חומרה סטנדרטי של IEEE, המשמש את המעצבים האלקטרוניים כדי לתאר ולדמות את הצ 'יפס והמערכות שלהם לפני ייצור.

גרסאות TINA 7 ומעלה כוללות כעת מנוע סימולציה VHDL דיגיטלי חזק. כל מעגל דיגיטלי ב TINA ניתן להמיר באופן אוטומטי קוד VHDL ונותחו כמו עיצוב VHDL. בנוסף, תוכל לנתח את מגוון החומרה הזמין ב- VHDL ולהגדיר את הרכיבים והחומרה הדיגיטליים שלך ב- VHDL. היתרון הגדול של VHDL הוא לא רק שזה תקן IEEE, אבל גם זה יכול להתממש באופן אוטומטי במכשירים ההיגיון לתכנות כגון FPGAs ו CPLDs.

TINA יכולה ליצור קוד VHDL מסונתז יחד עם קובץ ה- UCF המתאים אם תיבת הסימון צור קוד מסונתז מוגדרת בתפריט ניתוח / אפשרויות. אתה יכול לשמור את קבצי VHD ו- UCF שנוצרו באמצעות הפקודה "צור VHD & UCF ​​File" בתפריט T&M. אתה יכול לקרוא קבצים אלה באמצעות ה- Webpack החינמי של Xilinx, ליצור את קובץ זרם הסיביות המתאר את יישום העיצוב ואז להעלות אותו לשבבי FPGA של Xilinx.

דוגמה: המעגל הבא הוא מונה, המוגדר ב- VHDL.
סימולציה דיגיטלית VHDL, תמונה 1
הפעלת ניתוח / סימולציה דיגיטלית VHDL, נותן את התרשים הבא: 
סימולציה VHDL, תמונה 2
אם אתה לוחץ פעמיים על בלוק מונה ב TINA ולחץ על כפתור Enter מאקרו אתה יכול לראות את קוד VHDL הגדרת מונה:

library ieee;use ieee.std_logic_1164.all; 
use ieee.std_logic_arith.all;

------------------

ENTITY counter is port(clock: in std_logic; clear: in std_logic; QA, QB, QC, QD: out std_logic); END counter;

------------------

ARCHITECTURE behv of counter is 
signal Pre_Q: unsigned( 3 downto 0 );

BEGIN 
— behavioral description of the counter 
   process(clock, clear) begin 
     if clear = ‘1’ then 
       Pre_Q <= “0000”; 
     elsif (clock=’1′ and clock ‘event) then 
       QA <= Pre_Q(0); 
       QB <= Pre_Q(1); 
       QC <= Pre_Q(2); 
       QD <= Pre_Q(3); 
       Pre_Q <= Pre_Q + 1; 
     end if; 
   end process; 
END behv;

ב TINA אתה יכול לשנות את קוד VHDL ולראות את האפקט מיד.

שנה את הקו Pre_Q <= Pre_Q + 1; מעל ל Pre_Q <= Pre_Q + 2; וסגור את תיבת הדו-שיח.

עכשיו ניתוח / דיגיטליות VHDL סימולציה תשואות התרשים הבא

אתה יכול גם ללמוד את המעגל הזה של TINA מצב אינטראקטיבי.