Verilog AとAMSシミュレーション

Verilog AとAMSシミュレーション

Jump to TINA Main Page & General Information 

今日、電子回路やデバイスモデルを記述するために最も広く使われている言語は、 Spice ネットリストフォーマット(1973) しかし Spice ネットリストは読みやすく理解しにくいものが多く、モデルやシミュレーションを作成する際にエンジニアが必要とするプログラミング言語の機能が多くありません。

比較的新しいVerilog-A言語(1995)は、構文のようなプログラミング言語スタイルCの読みやすい別の方法を提供します。 従ってVerilog-Aはの適切な後継者です。 SPICE 回路トポロジを記述するためのネットリスト。

アナログとデジタルの両方のコンポーネントを含む電子回路を記述するさらに洗練された方法は、Verilog-AMS言語です。 前述のように、Verilog-AMSは、純粋にアナログのVerilog Aで拡張された純粋にデジタルのVerilogの派生物であり、アナログとデジタルの部分を接続するためのインターフェイスです。

TINAのほとんどのデバイスライブラリは Spice ネットリスト形式。 ただし、すでにモデルを作成してインポートし、TINA マクロを Verilog-A および Verilog-AMS 形式で配置することができます。 いくつかの言語例、デバイス モデル、および回路は、 Examples\HDL\Verilog-A & Examples\HDL\Verilog-AMS TINAのフォルダ。

Verilog-AMSの例:

次の回路には、シリアルペリフェラルインターフェイス(SPI)を備えたデジタルアナログコンバーター(DAC)マクロと、デジタルSPI信号を生成するテストベンチマクロが含まれています。DACモデルは、Verilog AMSで定義されています。 興味深いことに、左側のテストベンチはVHDLで書かれていますが、これはさまざまなHDLを混在させる例ですが、ここでは右側のVerilog AMSマクロに集中します。 この回路(DAC VAMS.TSC)はTINAのEXAMPLEVerilog AMSフォルダーに含まれています。

TINAでは、DACマクロをダブルクリックしてEnter Macroボタンを押すと、DACモデルのVerilog AMSコードを見ることができます。

 コードの一部を以下に示します。

コードの詳細な分析は行いません。 上記の最初の部分で、DA Verilogモジュールがシリアル信号をアナログ信号(VOUTA)に変換することを示したいだけです。

上に示したマクロの最後で (TINA では下にスクロールできます)、DA モジュールが呼び出され、Verilog A 命令を使用する単純なオペアンプと RC フィルターによって信号が平滑化されます。 上記のコード フラグメントでコンデンサの定義を確認することもできます。

    X
    ようこそ! DesignSoft
    適切な製品の検索やサポートが必要な場合は、チャットできます。
    wpchatıco