混合モードシミュレーション

Spice – HDL –MCU協調シミュレーション

混合モードシミュレーション

Spice – HDL –MCU協調シミュレーション

Jump to TINA Main Page & General Information 

TINAバージョン8以上には、新しい強力な混合モードシミュレーションエンジンが含まれています。 それはXに基づいていますSPICE MCUとVHDLコンポーネントで拡張された混合モードアルゴリズム。 あなたの回路では、マイクロコントローラ(MCU)とマクロを含むTINAのアナログまたはデジタルコンポーネントを自由に混ぜることができます。 Spice またはVHDLコンテンツ MCUのコードとともに、これらのコンポーネントをその場で変更できます。 TINAはアナログのアナログ部分、デジタルのデジタル部分を分析し、コンポーネント間のインターフェースを自動的に作成します。 これにより、同期と高速コンバージェンスが保証されます。

いくつかの例を通して、このモードの使用法のいくつかを調べてみましょう。

VHDLと波形生成 Spice サブサーキット

次の回路は、左側のSW-MODEスイッチの状態に応じて、アナログ正弦波またはのこぎり波信号を生成します。

混合モードシミュレーション、画像1

回路の左側にあるDigital Waveボックスには、ルックアップテーブル付きのVHDLコードが含まれています(Sine_LUT 正弦波用とのこぎり波信号用のカウンタです。

VHDLコードの重要な部分は次のとおりです。

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

カウンタのデジタル出力は、回路の中央に示されているTINAの5ビットDAコンバータでアナログ信号に変換されます。

DACの正弦波出力はローパスフィルタでクリーンアップする必要があります。 私たちは使用します Spice Sallen and Keyローパスフィルタ構成のTL081のオペアンプモデル。 プロパティダイアログのEnter Macroボタンを押すと、TINAはマクロを開きます。 確認し、必要に応じて Spice マクロ内のコード。

これは、5つのカウンタ出力波形を含む、フル回路の最終波形です。 SW_MODEがHigh状態で、のこぎり波信号が選択されています。

SW-MODEスイッチをLowに変更してトランジェント解析を再度実行すると、波形は次のようになります。

アナログフィルタの効果を確認するには、曲線をクリックしてDelキーを押して、ダイアグラムから曲線d0〜d4を削除します。 

MCU制御SMPS回路

TINAの混在モードシミュレータでは、MCUだけでなく、TINAのライブラリ内の線形または非線形部品も使用できます。 例として、DC-DCコンバータを実現し、5V DCを13V DCに変換し、昇圧モードで動作する次の回路を検討しましょう。

以下の波形はTINAでアナログ部とMCUがどう相互作用するのかを示します。

単純なアナログ部品とデジタル部品の混合回路例