Simulação de modo misto

Spice - HDL - co-simulação de MCU

Simulação de modo misto

Spice - HDL - co-simulação de MCU

Jump to TINA Main Page & General Information 

A versão TINA 8 e acima incluem um novo e poderoso mecanismo de simulação de modo misto. É baseado no XSPICE algoritmo de modo misto, estendido com componentes MCU e VHDL. Em seus circuitos você pode misturar livremente qualquer componente analógico ou digital da TINA, incluindo microcontroladores (MCUs) e macros com Spice ou conteúdo VHDL. Você pode modificar esses componentes em tempo real junto com o código nos MCUs. A TINA analisará as peças analógicas em analógico, as peças digitais em digital e criará automaticamente as interfaces entre os componentes. Isso garante sincronização e convergência rápida.

Vamos explorar alguns dos usos deste modo através de alguns exemplos.

Geração de formas de onda com VHDL e Spice subcircuitos

O circuito a seguir gera um sinal analógico de seno ou dente de serra, dependendo do status do interruptor SW-MODE esquerdo.

Simulação de modo misto, imagem 1

A caixa Digital Wave à esquerda do circuito inclui o código VHDL com uma tabela de consulta (Sine_LUT ) para a onda senoidal e um contador para o sinal dente de serra.

A parte essencial do código VHDL é:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

A saída digital do contador é convertida em um sinal analógico no conversor 5 bit DA do TINA mostrado no meio do circuito.

A saída de onda senoidal do DAC precisa ser limpa com um filtro de baixa passagem. Nós vamos usar um Spice modelo OPAMP do TL081 em uma configuração de filtro passa baixa Sallen e Key. Pressione o botão Inserir Macro na caixa de diálogo de propriedades e o TINA abrirá a macro. Você pode revisar e, se necessário, modificar o Spice código dentro da macro.

Aqui estão as formas de onda finais do circuito completo, incluindo as cinco formas de onda de saída do contador. SW_MODE está no estado Alto, selecionando o sinal dente de serra.

Se mudarmos a chave SW-MODE para Low e executarmos a análise Transient novamente, as formas de onda são:

Para ver o efeito do filtro analógico, apague as curvas d0 para d4 do diagrama clicando nas curvas e pressionando a tecla Del. 

Circuito SMPS controlado por MCU

O simulador de modo misto do TINA não apenas permite MCUs, mas também qualquer peça linear ou não linear nas bibliotecas do TINA. Como exemplo, vamos estudar o circuito a seguir, que realiza um conversor DC-DC, convertendo 5V DC em 13V DC e operando no modo boost.

As formas de onda abaixo demonstram como as partes analógicas e o MCU interagem no TINA.

Exemplo de circuito misto que consiste em componentes analógicos e digitais simples

    X
    Welcome to DesignSoft
    Permite o bate-papo, se precisar de ajuda para encontrar o produto certo ou precisar de suporte.
    wpChatIcon