Simulação Verilog A e AMS

Simulação Verilog A e AMS

Jump to TINA Main Page & General Information 

Atualmente, a linguagem mais usada para descrever circuitos eletrônicos e modelos de dispositivos é a Spice formato netlist (1973). No entanto, o Spice As netlists são difíceis de ler e entender, e faltam muitas das funcionalidades das linguagens de programação que os engenheiros precisariam ao criar modelos e simulações.

A relativamente nova linguagem Verilog-A (1995) fornece um método alternativo com um estilo de linguagem de programação fácil de ler como sintaxe. Assim, Verilog-A é um sucessor adequado do SPICE netlists para descrever topologias de circuito.

Um método ainda mais sofisticado de descrever circuitos eletrônicos, contendo componentes analógicos e digitais, é a linguagem Verilog-AMS. Como observamos anteriormente, o Verilog-AMS é um derivado do Verilog puramente digital estendido com o Verilog A puramente analógico e uma interface para a conexão das partes analógica e digital.

A maioria das bibliotecas de dispositivos da TINA estão em Spice formato netlist. No entanto, você já pode criar e importar modelos e colocar macros TINA no formato Verilog-A e Verilog-AMS. Você pode encontrar vários exemplos de linguagem, modelos de dispositivos e circuitos no Exemplos\HDL\Verilog-A e Exemplos\HDL\Verilog-AMS pastas da TINA.

Exemplo Verilog-AMS:

O circuito a seguir contém uma macro Conversor Analógico Digital (DAC) com Interface Serial Periférica (SPI) e uma macro de banco de testes, gerando o sinal SPI digital. O modelo DAC é definido no Verilog AMS. Curiosamente, a bancada de teste no lado esquerdo está escrita em VHDL, que é um exemplo de mistura de diferentes HDLs, mas aqui vamos nos concentrar na macro Verilog AMS à direita. Este circuito (DAC VAMS.TSC) está incluído na pasta EXAMPLESVerilog AMS da TINA.

No TINA você pode ver o código Verilog AMS do modelo DAC se você clicar duas vezes na macro DAC e pressionar o botão Inserir Macro.

 Uma parte do código é mostrada abaixo:

Nós não iremos entrar em uma análise detalhada do código. Nós apenas queremos mostrar que na primeira parte mostrada acima, o módulo DA Verilog converte o sinal serial em um sinal analógico (VOUTA).

No final da macro mostrada acima (no TINA você pode rolar para baixo), o módulo DA é chamado e o sinal é suavizado por um simples opamp e um filtro RC usando as instruções Verilog A. Você também pode ver a definição do capacitor no fragmento de código acima.

    X
    Fico feliz em ter você em DesignSoft
    Permite o bate-papo, se precisar de ajuda para encontrar o produto certo ou precisar de suporte.
    wpChatIcon