Modul simulat mixt

Spice - HDL - co-simulare MCU

Modul simulat mixt

Spice - HDL - co-simulare MCU

Jump to TINA Main Page & General Information 

Versiunea TINA 8 și mai sus includ un nou motor puternic de simulare în mișcare. Se bazează pe XSPICE algoritm în mod mixt, extins cu componente MCU și VHDL. În circuitele dvs. puteți amesteca liber orice componente analogice sau digitale ale TINA, inclusiv microcontrolere (MCU) și macro-uri cu Spice sau conținut VHDL. Puteți modifica aceste componente în zbor împreună cu codul din MCU. TINA va analiza părțile analogice în analogie, piesele digitale în format digital și va crea automat interfețele dintre componente. Aceasta asigură sincronizarea și convergența rapidă.

Să explorăm câteva dintre utilizările acestui mod prin câteva exemple.

Forma de undă cu VHDL și Spice subcircuite

Următorul circuit generează un semnal analogic sinusoidal sau fierăstrău, în funcție de starea comutatorului SW-MODE din stânga.

Mod Simulat, imagine 1

Caseta Digital Wave din stânga circuitului include codul VHDL cu o masă de căutare (Sine_LUT ) pentru valul sinusoidal și un contor pentru semnalul din fierăstrău.

Partea esențială a codului VHDL este:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

Ieșirea digitală a contorului este transformată într-un semnal analog în convertorul 5 bit DA al TINA prezentat în mijlocul circuitului.

Emisia de undă sinusoidală DAC trebuie curățată cu un filtru trece-jos. Vom folosi a Spice modelul opamp al TL081 într-o configurație filtru Sallen și Key low pass. Apăsați butonul Enter macro din fereastra de proprietăți și TINA va deschide macroul. Puteți examina și, dacă este necesar, puteți modifica Spice cod în interiorul macrocomenzii.

Iată forma finală de undă a circuitului complet, incluzând cele cinci forme de undă de ieșire. SW_MODE este în starea High, selectând semnalul din fierăstrău.

Dacă schimbați comutatorul SW-MODE la Low și executați din nou analiza tranzitorie, formele de undă sunt:

Pentru a vedea efectul filtrului analog, ștergeți curbele d0 la d4 din diagramă făcând clic pe curbe și apăsând tasta Del. 

MCU controlate SMPS circuit

Simulatorul de moduri mixte al TINA nu numai că permite MCU-uri, dar și orice părți liniare sau neliniare din bibliotecile TINA. De exemplu, să studiem următorul circuit, care realizează un convertor DC-DC, transformând 5V DC în 13V DC și funcționând în modul de amplificare.

Formele de undă de mai jos demonstrează modul în care părțile analogice și MCU interacționează în TINA.

Exemple de circuite mixte constând din componente analogice și digitale simple

    X
    Mă bucur să te am DesignSoft
    Permite chat-ul dacă aveți nevoie de ajutor pentru a găsi produsul potrivit sau dacă aveți nevoie de asistență.
    wpChatIcon