Verilog A și simulare AMS

Verilog A și simulare AMS

Jump to TINA Main Page & General Information 

Astăzi, cel mai utilizat limbaj pentru a descrie circuitele electronice și modelele de dispozitive este Spice formatul netlist (1973). Însă Spice netlists sunt adesea greu de citit și de înțeles, și le lipsește o mulțime de funcționalități ale limbajelor de programare pe care inginerii ar avea nevoie în timp ce modelează și simulează.

Limba relativ nouă Verilog-A (1995) oferă o metodă alternativă, cu o limbaj de programare ușor de citit, asemănător sintaxei C. Prin urmare, Verilog-A este un succesor potrivit SPICE netlists pentru descrierea topologiilor circuitelor.

O metodă și mai sofisticată de descriere a circuitului electronic, care conține componente analogice și digitale este limbajul Verilog-AMS. După cum am observat anterior, Verilog-AMS este un derivat al Verilog pur digital extins cu Verilog A pur analogic și o interfață pentru conectarea părților analogice și digitale.

Majoritatea bibliotecilor de dispozitive din TINA se află în Spice format netlist. Cu toate acestea, puteți deja să creați și să importați modele și să plasați macrocomenzi TINA în format Verilog-A și Verilog-AMS. Puteți găsi mai multe exemple de limbi, modele de dispozitive și circuite în Exemple\HDL\Verilog-A și Exemple\HDL\Verilog-AMS dosare ale TINA.

Exemplul Verilog-AMS:

Următorul circuit conține o macrocomandă a convertorului analogic digital (DAC) cu interfață serial periferică (SPI) și o macrocomandă de testare, generând semnalul SPI digital. Modelul DAC este definit în Verilog AMS. Interesant este că banca de testare din partea stângă este scrisă în VHDL, care este un exemplu de amestecare a diferitelor HDL-uri, dar aici ne vom concentra pe macrocomanda Verilog AMS pe dreapta. Acest circuit (DAC VAMS.TSC) este inclus în folderul EXAMPLESVerilog AMS al TINA.

În TINA puteți vedea codul Verilog AMS al modelului DAC dacă faceți dublu clic pe macrocomanda DAC și apăsați pe butonul Enter Macro.

 O parte a codului este prezentată mai jos:

Nu vom trece la o analiză detaliată a codului. Vrem doar să arătăm că în prima parte prezentată mai sus, modulul DA Verilog convertește semnalul serial într-un semnal analogic (VOUTA).

La sfârșitul macro-ului afișat mai sus (în TINA puteți derula în jos), modulul DA este apelat și semnalul este netezit de un simplu opamp și un filtru RC folosind instrucțiunile Verilog A. De asemenea, puteți vedea definiția condensatorului în fragmentul de cod de mai sus.

    X
    Bine ați venit la DesignSoft
    Permite chat-ul dacă aveți nevoie de ajutor pentru a găsi produsul potrivit sau dacă aveți nevoie de asistență.
    wpChatIcon