Дигитална Верилог симулација

Дигитална симулација електронског кола Верилог

Jump to TINA Main Page & General Information 

ТИНА такође укључује снажан дигитални Верилог симулациони мотор. Предност Верилога у односу на ВХДЛ је да је лакше научити и разумјети, али има више могућности у ВХДЛ-у.

ТИНА може превести Верилог модела и других дигиталних компоненти на синтхесизабле ВХДЛ код и, користећи Ксилинк'с Вебпацк софтвер, можете генерирати датотеку бит стреам описује проведбу дизајна, а затим га уплоад на Ксилинк ФПГА чиповима.

Сљедећи круг успоређује исти пуни збирач круг помоћу ВХДЛ и Верилог.
Дигитал Верилог Симулатион, имаге КСНУМКС

Схематски дио је исти, само кодови у макроима су различити.

Можете двапут кликнути на ВХДЛ или Верилог макрое и притиснути Ентер Мацро да видите све детаље и уредите код ако желите:

Основни делови су веома слични:

VerilogVHDL
assign S = A ^ BS <= (A xor B)
assign C = A & BC <= (A and B)
Ако покренете Дигитал Тиминг Аналисис из изборника Аналисис. Појавиће се следећи дијаграм:

Можете видети да су излазни сигнали из оба модела потпуно исти.

    X
    Добродошли ДесигнСофт
    Омогућује разговор ако вам је потребна помоћ око проналаска правог производа или вам је потребна подршка.
    впЦхатИцон