Mixed Mode Simulation

Spice - HDL - MCU-samsimulering

Mixed Mode Simulation

Spice - HDL - MCU-samsimulering

Jump to TINA Main Page & General Information 

TINA-versionen 8 och högre inkluderar en ny kraftfull simulationsmotor med blandad mod. Det är baserat på XSPICE mixed mode algoritm, utökad med MCU och VHDL komponenter. I dina kretsar kan du blanda alla analoga eller digitala komponenter i TINA, inklusive mikrokontroller (MCU) och makroner med Spice eller VHDL-innehåll. Du kan ändra dessa komponenter på flugan tillsammans med koden i MCU. TINA analyserar de analoga delarna i analog, digitala delar i digital och skapar automatiskt gränssnitten mellan komponenterna. Detta säkerställer synkronisering och snabb konvergens.

Låt oss utforska några av användningarna av det här läget genom några exempel.

Vågformsgenerering med VHDL och Spice delkretsar

Följande krets genererar en analog sinus eller sågtandsignal beroende på status för vänster SW-MODE-omkopplare.

Mixed Mode Simulation, bild 1

Den digitala våglådan till vänster om kretsen innehåller VHDL-kod med ett uppslagstabell (Sine_LUT ) för sinusvågen och en räknare för sågtandsignalen.

Den väsentliga delen av VHDL-koden är:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

Den digitala utgången på räknaren omvandlas till en analog signal i 5 bit DA-omvandlaren av TINA som visas i mitten av kretsen.

DAC sinusvågsutgången måste rengöras med ett lågpassfilter. Vi kommer att använda en Spice opampmodell av TL081 i en Sallen och Key lågpassfilterkonfiguration. Tryck på Enter Macro-knappen i egenskapsdialogen och TINA öppnar makron. Du kan granska och vid behov ändra Spice kod inuti makroet.

Här är de slutliga vågformerna för hela kretsen, inklusive de fem motverkande utgångsvågformerna. SW_MODE är i högsta tillstånd, väljer sågtonssignalen.

Om vi ​​byter SW-MODE-omkopplaren till Låg och kör Transient-analys igen, är vågformerna:

För att se effekten av det analoga filtret, radera kurvorna d0 till d4 från diagrammet genom att klicka på kurvorna och trycka på Del-tangenten. 

MCU-kontrollerad SMPS-krets

TINA-blandningssimulatorn tillåter inte bara MCU, men också alla linjära eller olinjära delar i TINAs bibliotek. Låt oss exempelvis undersöka följande krets, som realiserar en DC-DC-omvandlare, omvandlar 5V DC till 13V DC och arbetar i boost-läge.

Vågformerna nedan visar hur de analoga delarna och MCU interagerar i TINA.

Blandad kretsexempel bestående av enkla analoga och digitala komponenter

    X
    Glad att ha dig kl DesignSoft
    Låter chatta om du behöver hjälp med att hitta rätt produkt eller behöver support.
    den wpchatıco