การจำลองโหมดผสม

Spice - HDL - การจำลองร่วม MCU

การจำลองโหมดผสม

Spice - HDL - การจำลองร่วม MCU

Jump to TINA Main Page & General Information 

TINA รุ่น 8 ขึ้นไปรวมถึงเอ็นจิ้นการจำลองโหมดผสมที่ทรงพลัง มันขึ้นอยู่กับ XSPICE อัลกอริทึมโหมดผสมขยายด้วยส่วนประกอบ MCU และ VHDL ในวงจรของคุณคุณสามารถผสมส่วนประกอบอะนาล็อกหรือดิจิตอลของ TINA ได้อย่างอิสระรวมถึงไมโครคอนโทรลเลอร์ (MCU) และมาโครด้วย Spice หรือเนื้อหา VHDL คุณสามารถแก้ไขส่วนประกอบเหล่านี้ได้ทันทีพร้อมกับรหัสใน MCUs TINA จะวิเคราะห์ชิ้นส่วนอะนาล็อกในแบบอะนาล็อกชิ้นส่วนดิจิตอลในระบบดิจิตอลและจะสร้างส่วนต่อประสานระหว่างส่วนประกอบโดยอัตโนมัติ สิ่งนี้ทำให้การประสานและการบรรจบกันอย่างรวดเร็ว

มาสำรวจการใช้งานของโหมดนี้ผ่านตัวอย่างเล็ก ๆ น้อย ๆ

การสร้างรูปคลื่นด้วย VHDL และ Spice subcircuits

วงจรต่อไปนี้สร้างสัญญาณอะนาล็อกหรือสัญญาณฟันเลื่อยขึ้นอยู่กับสถานะของสวิตช์ SW-MODE ด้านซ้าย

การผสมโหมดการจำลองภาพ 1

กล่อง Digital Wave ที่ด้านซ้ายของวงจรมีรหัส VHDL พร้อมโต๊ะค้นหา (Sine_LUT ) สำหรับคลื่นไซน์และตัวนับสำหรับสัญญาณฟันเลื่อย

ส่วนสำคัญของรหัส VHDL คือ:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

เอาต์พุตดิจิตอลของตัวนับถูกแปลงเป็นสัญญาณอะนาล็อกในตัวแปลง 5 บิต DA ของ TINA ที่แสดงอยู่ตรงกลางของวงจร

เอาท์พุทคลื่นไซน์ DAC จะต้องทำความสะอาดด้วยตัวกรองความถี่ต่ำ เราจะใช้ Spice โมเดล opamp ของ TL081 ในการกำหนดค่าตัวกรอง Sallen และ Key low pass กดปุ่ม Enter Macro บนกล่องโต้ตอบคุณสมบัติและ TINA จะเปิดมาโคร คุณสามารถตรวจสอบและแก้ไขหากจำเป็น Spice รหัสภายในแมโคร

นี่คือรูปคลื่นสุดท้ายของวงจรเต็มรวมถึงรูปคลื่นเอาท์พุตห้าตัว SW_MODE อยู่ในสถานะสูงเลือกสัญญาณฟันเลื่อย

หากเราเปลี่ยนสวิตช์ SW-MODE เป็นต่ำและเรียกใช้การวิเคราะห์ชั่วคราวอีกครั้งรูปคลื่นคือ:

หากต้องการดูผลของตัวกรองอะนาล็อกให้ลบเส้นโค้ง d0 เป็น d4 จากไดอะแกรมโดยคลิกที่เส้นโค้งแล้วกดปุ่ม Del 

วงจรควบคุม SMPS ของ MCU

เครื่องจำลองโหมดผสมของ TINA ไม่เพียง แต่อนุญาตให้ MCUs แต่ยังรวมถึงชิ้นส่วนเชิงเส้นหรือไม่เชิงเส้นในไลบรารีของ TINA ตัวอย่างเช่นลองศึกษาวงจรต่อไปนี้ซึ่งตระหนักถึงตัวแปลง DC-DC แปลง 5V DC เป็น 13V DC และปฏิบัติการในโหมดเพิ่ม

รูปคลื่นด้านล่างแสดงให้เห็นว่าชิ้นส่วนอะนาล็อกและ MCU โต้ตอบใน TINA อย่างไร

ตัวอย่างวงจรผสมประกอบด้วยส่วนประกอบแบบอะนาล็อกและดิจิตอลอย่างง่าย

    X
    ยินดีต้อนรับสู่ DesignSoft
    ให้แชทหากต้องการความช่วยเหลือในการค้นหาผลิตภัณฑ์ที่เหมาะสมหรือต้องการความช่วยเหลือ
    ไอคอน wpChat