Simulation ng Mixed Mode

Spice - HDL - MCU co-simulation

Simulation ng Mixed Mode

Spice - HDL - MCU co-simulation

Jump to TINA Main Page & General Information 

TINA bersyon 8 at sa itaas isama ang isang bagong malakas mixed mode simulation engine. Ito ay batay sa XSPICE mixed mode algorithm, pinalawak na may mga MCU at VHDL na mga sangkap. Sa iyong mga circuits maaari mong malayang pagsamahin ang anumang analog o digital na bahagi ng TINA, kabilang ang mga microcontrollers (MCUs) at mga macros na may Spice o VHDL na nilalaman. Maaari mong baguhin ang mga sangkap na ito sa mabilisang kasama ang code sa MCUs. Sinusuri ng TINA ang mga analog na bahagi sa analog, ang mga digital na bahagi sa digital, at awtomatikong bubuo ang mga interface sa mga sangkap. Tinitiyak nito ang pag-synchronize at mabilis na tagpo.

Tingnan natin ang ilan sa mga paggamit ng mode na ito sa pamamagitan ng ilang mga halimbawa.

Pagbuo ng waveform na may VHDL at Spice subcircuits

Ang sumusunod na circuit ay bumubuo ng isang analog na sine o lagayan ng lagari depende sa kalagayan ng kaliwa SW-MODE switch.

Pinagsama-samang Mode Simulation, imahe 1

Ang Digital Wave box sa kaliwa ng circuit ay may kasamang VHDL code na may lookup table (Sine_LUT ) para sa sine wave at counter para sa signal ng lagari.

Ang mahahalagang bahagi ng VHDL code ay:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

Ang digital na output ng counter ay convert sa isang analog signal sa 5 bit DA converter ng TINA na ipinapakita sa gitna ng circuit.

Ang mga output ng sine wave ng DAC ay kailangang malinis na may mababang pass filter. Gagamitin namin ang isang Spice opamp modelo ng TL081 sa isang Sallen at Key mababang pass filter pagsasaayos. Pindutin ang pindutang Ipasok ang Macro sa dialog ng property at buksan ng TINA ang macro. Maaari mong suriin at, kung kinakailangan, baguhin ang Spice code sa loob ng macro.

Narito ang pangwakas na waveforms ng buong circuit, kabilang ang limang counter output waveforms. Ang SW_MODE ay nasa Mataas na estado, pinipili ang signal ng lagari.

Kung babaguhin natin ang SW-MODE switch sa Mababang at magpatakbo ng Transient analysis muli, ang mga waveform ay:

Upang makita ang epekto ng analog na filter, tanggalin ang curves d0 sa d4 mula sa diagram sa pamamagitan ng pag-click sa curves at pagpindot sa Del key. 

Kinokontrol ng MCU ang SMPS circuit

Ang mixed mode simulator ng TINA ay hindi lamang nagpapahintulot sa mga MCU, kundi pati na rin ang anumang mga linear o nonlinear na bahagi sa mga library ng TINA. Bilang halimbawa, pag-aralan natin ang sumusunod na circuit, na napagtanto ang isang DC-DC converter, nagko-convert ang 5V DC sa 13V DC, at nagpapatakbo sa mode ng tulong.

Ang mga waveform sa ibaba ay nagpapakita kung paano nakikipag-ugnayan ang mga analog na bahagi at ang MCU sa TINA.

Halimbawa ng halo-halong circuit na binubuo ng mga simpleng analog at digital na mga bahagi

    X
    Natutuwa na magkaroon ka DesignSoft
    Hinahayaan ang chat kung kailangan ng anumang tulong sa paghahanap ng tamang produkto o nangangailangan ng suporta.
    wpChatIcon