使用TINACloud进行在线混合模式电路仿真

Jump to TINA Main Page & General Information 

TINACloud中的混合模式电路仿真与此处描述的离线版TINA非常相似  https://www.tina.com/mixed-circuit-analysis/  此外,在TINACloud中,您可以在任何平台上随时随地无需安装即可在浏览器中运行。

Let’s explore this mode with TINACloud through a few examples.

使用VHDL和FPGA生成波形 Spice 子电路

以下电路根据左SW-MODE开关的状态生成模拟正弦或锯齿波信号。

单击图片以使用TINACloud在线运行此电路。

以下是电路的最终波形,包括计数器输出波形。 对于锯齿信号。 有关操作的详情,请参阅 https://www.tina.com/mixed-circuit-analysis/

如果我们将SW-MODE开关更改为低并再次运行瞬态分析,则波形为:

要查看模拟滤波器的效果,请通过单击曲线并按Del键从曲线图中删除曲线d0至d4。 

MCU控制的SMPS电路

The mixed mode simulator of TINACloud not only allows MCUs, but also any linear or nonlinear parts in TINA’s libraries. As an example, let’s study the following circuit, which realizes a DC-DC converter, operating in boost mode. For more detailes see: https://www.tina.com/mixed-circuit-analysis/

单击图片以使用TINACloud在线运行此电路。

下面的波形演示了电路中的模拟部分和MCU如何在TINA中相互作用。

具有简单模拟和数字组件的混合电路示例

单击图片以使用TINACloud在线运行此电路

与TINA的离线版本相似,只需在每个输出名称后附加一个冒号(:)和一个数字,就可以在一个图表中显示结果,或在下面显示的单独图表中显示结果。

混合模式结果
混合模式结果
X
很高兴有你 DesignSoft
如果需要任何帮助找到合适的产品或需要支持,可以进行聊天。
该wpchatıco