Verilog A和AMS仿真

Verilog A和AMS仿真

Jump to TINA Main Page & General Information 

今天,用于描述电子电路和器件模型的最广泛使用的语言是 Spice 网表格式(1973)。 然而 Spice 网表通常难以阅读和理解,并且缺乏编程语言的许多功能,工程师在创建模型和模拟时需要这些功能。

相对较新的Verilog-A语言(1995)提供了一种替代方法,具有易于阅读的编程语言风格C语法。 因此,Verilog-A是一个合适的继承者 SPICE 用于描述电路拓扑的网表。

Verilog-AMS语言是描述电子电路(包含模拟和数字组件)的一种更为复杂的方法。 正如我们之前所观察到的,Verilog-AMS是纯数字Verilog的派生产品,它扩展了纯模拟Verilog A和用于连接模拟和数字部件的接口。

TINA的大多数设备库都在 Spice 网表格式。 但是,您已经可以创建和导入模型并以 Verilog-A 和 Verilog-AMS 格式放置 TINA 宏。 您可以在 示例\HDL\Verilog-A 和 示例\HDL\Verilog-AMS TINA 的文件夹。

Verilog-AMS示例:

以下电路包含一个带有串行外设接口(SPI)的数字模拟转换器(DAC)宏和一个测试台宏,产生数字SPI信号.DAC模型在Verilog AMS中定义。 有趣的是,左侧的测试平台是用VHDL编写的,这是混合不同HDL的一个例子,但在这里我们将专注于右侧的Verilog AMS宏。 该电路(DAC VAMS.TSC)包含在TINA的EXAMPLESVerilog AMS文件夹中。

在TINA中,如果双击DAC宏并按Enter宏按钮,则可以看到DAC模型的Verilog AMS代码。

 代码的一部分如下所示:

我们不会详细分析代码。 我们只想表明,在上面显示的第一部分中,DA Verilog模块将串行信号转换为模拟信号(VOUTA)。

在上面显示的宏的末尾(在 TINA 中,您可以在那里向下滚动),调用 DA 模块,并使用 Verilog A 指令通过一个简单的运算放大器和一个 RC 滤波器平滑信号。 您还可以在上面的代码片段中看到电容器的定义。

    X
    很高兴有你 DesignSoft
    如果需要任何帮助找到合适的产品或需要支持,可以进行聊天。
    该wpchatıco