Verilog A和AMS仿真

Verilog A和AMS仿真

Jump to TINA Main Page & General Information 

今天,用於描述電子電路和器件模型的最廣泛使用的語言是 Spice 網表格式(1973)。 然而 Spice 網表通常難以閱讀和理解,並且缺乏編程語言的許多功能,工程師在創建模型和模擬時需要這些功能。

相對較新的Verilog-A語言(1995)提供了一種替代方法,具有易於閱讀的編程語言風格C語法。 因此,Verilog-A是一個合適的繼承者 SPICE 用於描述電路拓撲的網表。

Verilog-AMS語言是描述電子電路(包含模擬和數字組件)的一種更為複雜的方法。 正如我們之前所觀察到的,Verilog-AMS是純數字Verilog的派生產品,它擴展了純模擬Verilog A和用於連接模擬和數字部件的接口。

TINA的大多數設備庫都在 Spice 網表格式。 但是,您已經可以創建和導入模型並以 Verilog-A 和 Verilog-AMS 格式放置 TINA 宏。 您可以在 示例\HDL\Verilog-A 和 示例\HDL\Verilog-AMS TINA 的文件夾。

Verilog-AMS示例:

以下電路包含一個帶有串行外設接口(SPI)的數字模擬轉換器(DAC)宏和一個測試台宏,產生數字SPI信號.DAC模型在Verilog AMS中定義。 有趣的是,左側的測試平台是用VHDL編寫的,這是混合不同HDL的一個例子,但在這裡我們將專注於右側的Verilog AMS宏。 該電路(DAC VAMS.TSC)包含在TINA的EXAMPLESVerilog AMS文件夾中。

在TINA中,如果雙擊DAC宏並按Enter宏按鈕,則可以看到DAC模型的Verilog AMS代碼。

 代碼的一部分如下所示:

我們不會詳細分析代碼。 我們只想表明,在上面顯示的第一部分中,DA Verilog模塊將串行信號轉換為模擬信號(VOUTA)。

在上面顯示的宏的末尾(在 TINA 中,您可以在那裡向下滾動),調用 DA 模塊,並使用 Verilog A 指令通過一個簡單的運算放大器和一個 RC 濾波器平滑信號。 您還可以在上面的代碼片段中看到電容器的定義。

    X
    Welcome to DesignSoft
    如果需要任何幫助找到合適的產品或需要支持,可以進行聊天。
    該wpchatıco