混合模式模擬

Spice – HDL – MCU協同仿真

混合模式模擬

Spice – HDL – MCU協同仿真

Jump to TINA Main Page & General Information 

TINA版8及以上版本包括一個新的強大的混合模式模擬引擎。 它基於X.SPICE 混合模式算法,擴展了MCU和VHDL組件。 在您的電路中,您可以自由地混合TINA的任何模擬或數字組件,包括微控制器(MCU)和宏 Spice 或VHDL內容。 您可以隨時修改這些組件以及MCU中的代碼。 TINA將分析模擬中的模擬部件,數字中的數字部件,並將自動創建組件之間的接口。 這確保了同步和快速收斂。

讓我們通過幾個例子探討這種模式的一些用法。

用VHDL和FPGA生成波形 Spice 子電路

以下電路根據左SW-MODE開關的狀態生成模擬正弦或鋸齒波信號。

混合模式模擬,圖像1

電路左側的數字波形盒包含帶查找表的VHDL代碼(Sine_LUT )用於正弦波和鋸齒信號的計數器。

VHDL代碼的基本部分是:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

計數器的數字輸出在電路中間顯示的TINA的5位DA轉換器中轉換為模擬信號。

需要使用低通濾波器清除DAC正弦波輸出。 我們將使用一個 Spice Sallen和Key低通濾波器配置中TL081的運算放大器模型。 按屬性對話框上的Enter Macro按鈕,TINA將打開宏。 您可以查看並在必要時修改 Spice 宏內的代碼。

以下是整個電路的最終波形,包括五個計數器輸出波形。 SW_MODE處於高狀態,選擇鋸齒信號。

如果我們將SW-MODE開關更改為低並再次運行瞬態分析,則波形為:

要查看模擬濾波器的效果,請通過單擊曲線並按Del鍵從曲線圖中刪除曲線d0至d4。 

MCU控制的SMPS電路

TINA的混合模式模擬器不僅允許MCU,還允許TINA庫中的任何線性或非線性部件。 作為一個例子,讓我們研究下面的電路,它實現了一個DC-DC轉換器,將5V DC轉換為13V DC,並在升壓模式下工作。

下面的波形演示了模擬器件和MCU如何在TINA中進行交互。

混合電路示例由簡單的模擬和數字組件組成

    X
    Welcome to DesignSoft
    如果需要任何幫助找到合適的產品或需要支持,可以進行聊天。
    該wpchatıco