Gratuito SPICE curso de simulação e modelagem

SPICE, SPICE, SPICE Quando você faz uma simulação de circuito eletrônico, sempre ouve essas palavras mágicas. O que é isso e por que isso é tão importante? Explicaremos isso neste curso gratuito na Internet e ensinaremos como usar, adicionar e criar modelos sofisticados de dispositivos para o seu software de simulação. Em nosso material, apresentaremos o software TINA e TINACloud para demonstração dos circuitos e modelos que criaremos, porém nossos SPICE modelos e circuitos funcionam na maioria SPICE simuladores sem alterações.

História da SPICE

Como funciona o dobrador de carta de canal SPICE é usado hoje

Criando um SPICE modelo para um comparador com histerese

Criando um SPICE modelos para acionadores práticos

Adicionando SPICE modelos para TINA e TINACloud

.MODEL- Definição do modelo

.PARAM- Definição de Parâmetros

.SUBCKT- Descrição do sub-circuito

C - Capacitor

D - Diodo

E - Fonte de tensão controlada por tensão, G - Fonte de corrente controlada por tensão

F - Fonte de corrente controlada por corrente, H - Fonte de tensão controlada por corrente

I - Fonte de Corrente Independente, V - Fonte de Tensão Independente

J - Junção FET

K - Acoplamento do indutor (núcleo do transformador)

L - indutor

M - MOSFET

N - Entrada Digital

O - Saída Digital

Q - Transistor Bipolar

R - Resistor

S - Chave controlada por tensão

T - Linha de Transmissão

W - Chave controlada por corrente

X - Chamada de Sub-circuito

U - Primitivos Digitais

Y - Primitivas de Tina

FONTES - Descrições de fontes transitórias

FUNÇÕES - Funções na Expressão


História da SPICE

Spice simulação é um método de simulação de circuito desenvolvido na Universidade da Califórnia, Berkeley, apresentado pela primeira vez em 1973. A última versão 3f5 de Berkeley Spice foi lançado em 1993. Berkely Spice serve como base para a maioria dos programas de simulação de circuitos na academia e na indústria. Hoje Spice Os simuladores são obviamente mais avançados e sofisticados do que o original Berkely Spice simulador e são estendidos de várias maneiras. Uma enorme vantagem de Spice simulação, que os fabricantes de semicondutores fornecem grandes bibliotecas gratuitas para seus produtos usando Spice modelos, que mais Spice simuladores podem abrir e usar.

Como funciona o dobrador de carta de canal SPICE é usado hoje

Criando um SPICE modelo para um comparador com histerese

Criando um SPICE modelos para acionadores práticos

Adicionando SPICE modelos para TINA e TINACloud

Você pode encontrar mais tutoriais em

.MODEL- Definição do modelo

Formato geral:

.MODELO [AKO: ]  

+ ([<nome do parâmetro> = [especificações de tolerância]] *)

.MODELO A instrução descreve um conjunto de parâmetros do dispositivo que são usados ​​na lista líquida para determinados componentes.   é o nome do modelo usado pelos componentes.   é o tipo de dispositivo e deve ser um dos seguintes:

Agora sobre o  é a lista de parâmetros que descrevem o modelo do dispositivo. Nenhum, nenhum ou todos os parâmetros podem ter valores atribuídos, aqueles que não são atribuídos assumem os valores padrão. As listas de nomes de parâmetros, significados e valores padrão estão localizadas nas descrições individuais dos dispositivos.  

LT e SIMetrix usando um dispositivo A para representar primitivas digitais.

Exemplo:

.MODEL RMAX RES (R = 1.5 TC1 = 0.0002 TC2 = 0.005)

.MODEL DNOM D (IS = 1E-9)

.MODEL QDRIV NPN (IS = 1E-7 BF = 30)

.MODEL QDR2 AKO: QDRIV NPN (BF = 50 IKF = 50m)

.PARAM- Definição de Parâmetros

Formatos Gerais:

    .PARA < = > *

    .PARA < = { }> *

.PARA A instrução define o valor de um parâmetro. Um nome de parâmetro pode ser usado no lugar da maioria dos valores numéricos na descrição do circuito. Os parâmetros podem ser constantes, ou expressões que envolvem constantes, ou uma combinação desses, e podem incluir outros parâmetros.

Parâmetros predefinidos: TEMP, VT, GMIN, TEMPO, S,  PI, E

Exemplo:

.PARAM VCC = 12V, VEE = -12V

.PARAM BANDWIDTH = {100kHz / 3}

.PARAM PI = 3.14159, TWO_PI = {2 * 3.14159}

.PARAM VNUM = {2 * TWO_PI}

Descrição do sub-circuito .SUBCKT

Formatos Gerais:

.SUBCKT [nó]* 

+ [OPCIONAL: < = > *]

+ [PARAMS: < = > *]

.SUBCKT declara que um Subcircuito da lista líquida será descrito até a .FIM comando. Sub-circuitos são chamados na lista de rede pelo comando, X.   é o nome dos sub-circuitos.  [nó]* é uma lista opcional de nós locais apenas para o subcircuito e usados ​​para conexão no nível superior. As chamadas de subcircuito podem ser aninhadas (podem ter X dentro). No entanto, os sub-circuitos não podem ser aninhados (não .SUBCKT dentro).

Exemplo:

.SUBCKT OPAMP 1 2 101 102 17

...

.FIM

PARÂMETROS DE SAÍDA DO FILTRO .SUBCKT: CENTRO = 100kHz,

+ LARGURA DE BANDA = 10kHz

...

.FIM

.SUBCKT 74LS00 ABY

+ OPCIONAL: DPWR = $ G_DPWR DGND = $ G_DGND

+ PARAMS: MNTYMXDLY = 0 IO_LEVEL = 0

...

.FIM

C - Capacitor

Formatos Gerais:

C <+ nó> <- nó> [nome do modelo] [IC = ]

[nome do modelo] é opcional e, se não estiver incluído,  é a capacitância em farads. E se [nome do modelo] é especificado, então a capacitância é dada por:

Ctot = | valor | * C * [1+ TC1 * (T-Tnom) + TC2 * (T-Tnom)2]

onde CTC1TC2 são descritos abaixo.  Ctot é a capacitância total.   T é a temperatura de simulação. E Tnom é a temperatura nominal (27 ° C, a menos que definido na caixa de diálogo Analysis.Set Analysis)

 pode ser positivo ou negativo.

[IC = ] dá PSPICE uma estimativa inicial da tensão no capacitor durante o cálculo do ponto de polarização e é opcional.

ParâmetroDescrição
Cmultiplicador de capacitância
TC1coeficiente de temperatura linear
TC2coeficiente de temperatura quadrático

Exemplo:

CLOAD 15 0 20pF

C2 1 2 0.2E-12 IC = 1.5V

C3 3 33 CMOD 10pF

D - Diodo

Formatos Gerais:

D <+ nó> <- nó> [valor da área] [OFF]

O diodo é modelado por um resistor de valor RS/[valor da área] em série com um diodo intrínseco.  <+ nó> é o ânodo e <- nó> é o cátodo. 

[valor da área]Escalas ISRSCJOIBV e é 1 por padrão.  IBV e BV são ambos positivos.

ParâmetroDescrição
AFexpoente de ruído de cintilação
BVvalor de quebra reversa
CJOcapacitância pn de polarização zero
EGtensão bandgap
FCcoeficiente de capacitância de depleção de polarização direta
IBVcorrente de avaria reversa
IScorrente de saturação
KFcoeficiente de ruído de cintilação
Mcoeficiente de classificação pn
Ncoeficiente de emissão
RSresistência parasitária
RZResitance Zener (apenas TINA)
TTtempo de trânsito
VJpotencial pn
XTIExpoente de temperatura IS

O parâmetro OFF não é suportado em PSPice.

Exemplo

DCLAMP 14 0 DMOD

D13 15 17 INTERRUPTOR 1.5

DBV1 3 9 DX 1.5 DESLIGADO

E - Fonte de tensão controlada por tensão, G - Fonte de corrente controlada por tensão

Formatos Gerais:

E <+ nó> <- nó>

+ <+ nó de controle> <- nó de controle>

E <+ nó> <- nó> POLY ( )

+ <<+ nó de controle>, <- nó de controle>> * 

+ < > *

E <+ <- nó> VALUE = { }

E <+ <- nó> TABELA { } =

+ < , > *

E <+ nó> <- nó> LAPLACE { } =

+ { }

E <+ nó> <- nó> FREQ { } = 

+ < , , > *

Todo formato declara uma fonte de tensão cuja magnitude está relacionada à diferença de tensão entre os nós <+ nó de controle> e <- nó de controle>. O primeiro formato define um caso linear e os demais definem casos não lineares.

LAPLACE e frequencia O modo da fonte controlada pode ser usado apenas no modo CA.

O modo FREQ não está disponível no LT e SIMetrix

O modo LAPLACE é realizado com um bloco de função de transferência de domínio S, o SIMetrix.

Exemplo:

EBUFF 10 11 1 2 1.0

EAMP 13 0 POLI (1) 26 0 0 500

ENONLIN 100 101 POLI (2) 3 0 4 0 0.0 13.6 0.2 0.005

ESQROOT 5 0 VALOR = {5V * SQRT (V (3,2))}

ET2 2 0 QUADRO {V (ANODO, CÓDIGO)} = (0,0) (30,1)

ERC 5 0 LAPLACE {V (10)} = {1 / (1 + 001 * s)}

ELOWPASS 5 0 FREQ {V (10)} = (0,0,0) (5kHz, 0,0) (6kHz -60, 0)

F - Fonte de corrente controlada por corrente, H - Fonte de tensão controlada por corrente

Formatos Gerais:

F <+ nó> <- nó> 

+

or

F <+ nó> <- nó> POLY ( )

+ < > * 

+ < > *

Ambos os formatos declaram uma fonte atual cuja magnitude está relacionada à passagem atual .

A primeira forma gera um relacionamento linear. A segunda forma gera uma resposta não linear.  

Exemplo:

FSENSE 1 2 VSENSE 10.0

FAMP 13 0 POLY (1) VIN 0 500

FNONLIN 100 101 POLY (2) VCNTRL1 VCINTRL2 0.0 13.6 0.2 0.005

I - Fonte de Corrente Independente, V - Fonte de Tensão Independente

Formatos Gerais:

Eu <+ nó> <- nó> 

+ [[DC] ]

+ [AC [valor de fase]]

+ [especificação transitória]

Existem três tipos de fontes atuais. DCACou fontes transitórias.

DC fontes fornecem uma fonte atual com corrente de magnitude constante.  DC fontes são usadas para suprimentos ou para.DC análises.

AC fontes são usadas para o .AC análise. A magnitude da fonte é dada por . A fase inicial da fonte é dada por [fase], a fase padrão é 0.  

Fontes transitórias são fontes cuja saída varia ao longo do tempo de simulação. Eles são usados ​​principalmente com a análise transitória, .TRAN.

As fontes transitórias devem ser definidas como uma das opções abaixo:

Parâmetros | EXP |

Parâmetros |

PWL | parâmetros |

Parâmetros SFFM |

Parâmetros | SIN |

Exemplo:

IBIAS 13 0 2.3mA

IAC 2 3 AC 0.001

IACPHS 2 3 AC 0.001 90

VPULSE 1 0 PULSO (-1mA 1mA 2ns 2ns 2ns 50ns 100ns)

V3 26 77 DC 0.002 AC 1 SIN (0.002 0.002 1.5MEG)

J - Junção FET

Formatos Gerais:

J [área] [OFF]

J declara um JFET. O JFET é modelado como um FET intrínseco com resistência ôhmica (RD / {área}) em série com o dreno, uma resistência ôhmica (RS / {área}) em série com a fonte e uma resistência ôhmica (RG) em série com o portão.

{área}, opcional, é a área relativa do dispositivo. O padrão é 1.

ParâmetroDescrição
AFexpoente de ruído de cintilação
BETAcoeficiente de transcondutância
BETACoeficiente de temperatura exponencial BETA
CGDcapacitância pn de polarização zero de dreno de porta
CGScapacitância pn de polarização zero da fonte da porta
EGtensão de bandgap (somente TINA)
IScorrente de saturação pn portão
KFcoeficiente de ruído de cintilação
LAMBDAmodulação de comprimento de canal
Mportão pn coeficiente de classificação
PBportão pn potencial
RDdrenar resistência ôhmica
RSfonte de resistência ôhmica
OMCtensão de limiar
VTOTCCoeficiente de temperatura VTO

O parâmetro OFF não é suportado em PSPice.

Exemplo:

JIN 100 1 0 JFAST

J13 22 14 23 JNOM 2.0

JA3 3 9 JX 2 DESLIGADO

K - Acoplamento do indutor (núcleo do transformador)

Formatos Gerais:

K eu > *

+

K > *

+ [valor do tamanho]

K acopla dois ou mais indutores. Usando a convenção de pontos, coloque um ponto no primeiro nó de cada indutor. Então, a corrente acoplada terá polaridade oposta em relação à corrente motriz.

 é o coeficiente de acoplamento mútuo e deve estar entre 0 e 1. [valor do tamanho] escala a seção transversal magnética, o padrão é 1.

E senome do modelo> está presente 4 coisas mudam: 

1. O indutor de acoplamento mútuo torna-se um núcleo magnético não linear.

2. As características BH do núcleo são analisadas usando o modelo de Jiles-Atherton.

3. Os indutores tornam-se enrolamentos, portanto, o número que especifica a indutância agora significa número de voltas.

4. A lista de indutores acoplados pode ser apenas um indutor.

ParâmetroDescrição
Aparâmetro de forma
ÁREAseção transversal magnética média
Ccoeficiente de flexão da parede do domínio
GAPcomprimento efetivo da folga de ar
Kconstante de fixação da parede do domínio
MSsaturação de magnetização
PACKfator de empacotamento (empilhamento)
PATHcomprimento médio do caminho magnético

The 2nd O formulário não é suportado no LT e no SIMetrix. 

No SIMetrix, apenas 2 indutores podem ser acoplados, se você desejar acoplar mais, precisará criar um comando de acoplamento separado para cada combinação.

Exemplo:

KTUNED L3OUT L4IN .8

KTRNSFRM LSPRONARY LPRIMARY 1

KXFRM L1 L2 L3 L4 .98 KPOT_3C8

L - indutor

Formatos Gerais:

eu <+ nó> <- nó> [nome do modelo] [IC = ] 

L define um indutor.  <+ nó> e <- nó> defina a polaridade da queda de tensão positiva.  

 pode ser positivo ou negativo, mas não 0.

[nome do modelo] é opcional. Se deixado de fora, o indutor tem uma indutância de  Henry.

E se [nome do modelo] está incluída, então a indutância total é:

Ltot = | valor | * L * (1 + TC1 * (T-Tnom) + TC2 * (T-Tnom)2)

onde LTC1TC2 são definidos na declaração do modelo, T é a temperatura da simulação e  Tnom é a temperatura nominal (27 ° C, a menos que na caixa de diálogo Analysis.Set Analysis)

[IC = ] é opcional e, se usado, define a estimativa inicial da corrente através do indutor quando PSPICE tenta encontrar o ponto de viés.

ParâmetroDescrição
Lmultiplicador de indutância
TC1coeficiente de temperatura linear
TC2coeficiente de temperatura quadrático

Exemplo:

L2 1 2 0.2E-6

L4 3 42 LMOD 0.03

L31 5 12 2U IC = 2mA

M - MOSFET

Formato geral:

M

+ [L = ] [W = ] [AD = | valor |] [AS = | valor |]

+ [PD = ] [PS = ] [NRD = | valor |] [NRS = | valor |]

+ [NRG = ] [NRB =

M define um transistor MOSFET. O MOSFET é modelado como um MOSFET intrínseco com resistências ôhmicas em série com o dreno, fonte, porta e substrato (bulk). Há também um resistor shunt (RDS) em paralelo com o canal da fonte de drenagem.  

L e W são o comprimento e a largura do canal.  L é diminuído em 2 * LD e W é diminuído em 2 * WD para obter o comprimento e a largura efetivos do canal. L e W pode ser definido na instrução de dispositivo, no modelo ou em .OPÇÃO comando. A declaração do dispositivo tem precedência sobre o modelo que tem precedência sobre o .OPÇÕES.

AD e AS são as áreas de drenagem e difusão da fonte.  PD e PS são os parâmetros de difusão de dreno e fonte. As correntes de saturação de volume de drenagem e fonte de volume podem ser especificadas por JS (que por sua vez é multiplicado por AD e AS) ou por IS (um valor absoluto). As capacitâncias de depleção de polarização zero podem ser especificadas por CJ, multiplicado por AD e AS, e por CJSW, multiplicado por PD e PS, Ou por CBD e CBS, que são valores absolutos.  Alemanha OrientalNRSNRGNRB são resistividades reativas de seus respectivos terminais em quadrados. Esses parasitas podem ser especificados por RSH (que por sua vez é multiplicado por Alemanha OrientalNRSNRGNRB) ou por resistências absolutas RDRGRSRB. Padrões para LWADAS pode ser definido usando o .OPÇÕES comando. E se .OPÇÕES não é usado, seus valores padrão são 100u, 100u, 0 e 0 respectivamente

M é um multiplicador de dispositivos paralelos (padrão = 1), que simula o efeito de vários dispositivos em paralelo. A largura efetiva, capacitâncias de sobreposição e junção e correntes de junção do MOSFET são multiplicadas por M. Os valores de resistência parasitária (por exemplo, RD e RS) são divididos por M

NÍVEL= 1 modelo Shichman-Hodges

NÍVEL= 2 modelo analítico baseado em geometria

NÍVEL= 3 modelo semi-empírico de canal curto

NÍVEL= 7 modelo BSIM3 versão 3 

Nível 1

ParâmetroDescrição
AFExpoente de ruído de cintilação
CBDcapacitância pn de polarização zero de dreno em massa
CBScapacitância pn de polarização zero de origem em massa
CGBOcapacitância de sobreposição porta-substrato / comprimento do canal
CGDOcapacitância de sobreposição de dreno de porta / largura do canal
CGSOcapacitância de sobreposição porta-fonte / largura do canal
CJcapacitância / área inferior de polarização zero com polarização nula
CJSWcapacitância / área inferior de polarização zero com polarização nula
FCcoeficiente de capacitância de polarização direta pn a granel
GAMAparâmetro de limite em massa
IScorrente de saturação pn a granel
JScorrente / área de saturação pn em massa
KFCoeficiente de ruído de cintilação
KPtranscondutância
comprimento do canal
LAMBDAmodulação do comprimento do canal 
LDdifusão lateral (comprimento)
NÍVELtipo de modelo 
MJcoeficiente de classificação inferior a granel pn
MJSWcoeficiente de classificação da parede lateral pn a granel
Ncoeficiente de emissão de granel pn
NSSdensidade do estado da superfície
NSUBdensidade de dopagem do substrato
PBpotencial pn em massa
PHIpotencial de superfície
RBresistência ôhmica do substrato
RDdrenar resistência ôhmica
RDSresistência ôhmica da fonte de drenagem
RGresistência ôhmica do portão
RSfonte de resistência ôhmica
RSHdreno, resistência da folha de difusão da fonte
TOXespessura de óxido
TPGtipo de material do portão: +1 = oposto, -1 = mesmo, 0 = alumínio
UOmobilidade de superfície
OMCtensão limiar de polarização zero
WLargura de banda

Nível 2

ParâmetroDescrição
AFExpoente de ruído de cintilação
CBDcapacitância pn de polarização zero de dreno em massa
CBScapacitância pn de polarização zero de origem em massa
CGBOcapacitância de sobreposição porta-substrato / comprimento do canal
CGDOcapacitância de sobreposição de dreno de porta / largura do canal
CGSOcapacitância de sobreposição porta-fonte / largura do canal
CJcapacitância / área inferior de polarização zero com polarização nula
CJSWcapacitância / área inferior de polarização zero com polarização nula
DELTAefeito largura no limiar
FCcoeficiente de capacitância de polarização direta pn a granel
GAMAparâmetro de limite em massa
IScorrente de saturação pn a granel
JScorrente / área de saturação pn em massa
KFCoeficiente de ruído de cintilação
KPtranscondutância
comprimento do canal
LAMBDAmodulação do comprimento do canal 
LDdifusão lateral (comprimento)
NÍVELtipo de modelo 
MJcoeficiente de classificação inferior a granel pn
MJSWcoeficiente de classificação da parede lateral pn a granel
Ncoeficiente de emissão de granel pn
NEFFcoeficiente de carga do canal
NFSdensidade rápida do estado da superfície
NSSdensidade do estado da superfície
NSUBdensidade de dopagem do substrato
PBpotencial pn em massa
PHIpotencial de superfície
RBresistência ôhmica do substrato
RDdrenar resistência ôhmica
RDSresistência ôhmica da fonte de drenagem
RGresistência ôhmica do portão
RSfonte de resistência ôhmica
RSHdreno, resistência da folha de difusão da fonte
TOXespessura de óxido
TPGtipo de material do portão: +1 = oposto, -1 = mesmo, 0 = alumínio
UCRITcampo crítico da degradação da mobilidade
UEXPexpoente de degradação da mobilidade
UOmobilidade de superfície
Vmaxvelocidade máxima de deriva
OMCtensão limiar de polarização zero
WLargura de banda
XJprofundidade da junção metalúrgica

Nível 3

ParâmetroDescrição
AFExpoente de ruído de cintilação
ALPHAalfa
CBDcapacitância pn de polarização zero de dreno em massa
CBScapacitância pn de polarização zero de origem em massa
CGBOcapacitância de sobreposição porta-substrato / comprimento do canal
CGDOcapacitância de sobreposição de dreno de porta / largura do canal
CGSOcapacitância de sobreposição porta-fonte / largura do canal
CJcapacitância / área inferior de polarização zero com polarização nula
CJSWcapacitância / área inferior de polarização zero com polarização nula
DELTAefeito largura no limiar
ETAfeedback estático
FCcoeficiente de capacitância de polarização direta pn a granel
GAMAparâmetro de limite em massa
IScorrente de saturação pn a granel
JScorrente / área de saturação pn em massa
KAPPAfator de campo de saturação 
KFCoeficiente de ruído de cintilação
KPtranscondutância
comprimento do canal
LDdifusão lateral (comprimento)
NÍVELtipo de modelo 
MJcoeficiente de classificação inferior a granel pn
MJSWcoeficiente de classificação da parede lateral pn a granel
Ncoeficiente de emissão de granel pn
NFSdensidade rápida do estado da superfície
NSSdensidade do estado da superfície
NSUBdensidade de dopagem do substrato
PBpotencial pn em massa
PHIpotencial de superfície
RBresistência ôhmica do substrato
RDdrenar resistência ôhmica
RDSresistência ôhmica da fonte de drenagem
RGresistência ôhmica do portão
RSfonte de resistência ôhmica
RSHdreno, resistência da folha de difusão da fonte
THETAmodulação da mobilidade
TOXespessura de óxido
TPGtipo de material do portão: +1 = oposto, -1 = mesmo, 0 = alumínio
UOmobilidade de superfície
Vmaxvelocidade máxima de deriva
OMCtensão limiar de polarização zero
WLargura de banda
XDcoeficiente
XJprofundidade da junção metalúrgica

Nível 7

ParâmetroDescrição
MOBMODseletor de modelo de mobilidade
CAP MODsinalizador para o modelo de capacitância de canal curto
NQSMODsinalizador para o modelo NQS
NOIMODsinalizador para modelo de ruído
BINUNIDADEseletor de escala da unidade do compartimento
AFExpoente de ruído de cintilação
CGBOcapacitância de sobreposição porta-substrato / comprimento do canal
CGDOcapacitância de sobreposição de dreno de porta / largura do canal
CGSOcapacitância de sobreposição porta-fonte / largura do canal
CJcapacitância / área inferior de polarização zero com polarização nula
CJSWcapacitância / área inferior de polarização zero com polarização nula
JScorrente / área de saturação pn em massa
KFCoeficiente de ruído de cintilação
comprimento do canal
NÍVELtipo de modelo 
MJcoeficiente de classificação inferior a granel pn
MJSWcoeficiente de classificação da parede lateral pn a granel
PBpotencial pn em massa
RSHdreno, resistência da folha de difusão da fonte
WLargura de banda
A0coeficiente de efeito de carga a granel para o comprimento do canal
A1primeiro parâmetro de efeito de não saturação
A2segundo fator de não saturação
AGScoeficiente de polarização de porta do Abulk
ALPHA0primeiro parâmetro da corrente de ionização por impacto
B0coeficiente de efeito de carga em massa para a largura do canal
B1deslocamento da largura do efeito de carga em massa
BETA0segundo parâmetro de corrente de ionização por impacto
CDSCcapacitância de acoplamento de dreno / fonte para canal
CDSCBsensibilidade ao viés corporal do CDSC
CDSCDsensibilidade ao viés de drenagem do CDSC
CITarmadilha da interface capacitância
DELTAparâmetro Vds efetivo
SECACoeficiente de dependência L do parâmetro de correção DIBL no Rout
DSUBExpoente do coeficiente de DIBL na região do sublimiar
TVP0primeiro coeficiente de efeito de canal curto na tensão limite
TVP0Wprimeiro coeficiente de efeito de largura estreita na tensão limite para comprimento de canal pequeno
TVP1segundo coeficiente de efeito de canal curto na tensão limite
TVP2coeficiente de polarização corporal do efeito de canal curto na tensão limiar
TVP1Wsegundo coeficiente de efeito de largura estreita na tensão limiar para comprimento de canal pequeno
TVP2Wcoeficiente de viés corporal de efeito de largura estreita para comprimento de canal pequeno
DWBcoeficiente de dependência corporal de substrato de Weff
DWGcoeficiente de dependência do portão de Weff
ETA0Coeficiente de DIBL na região do sublimiar
ETABcoeficiente de viés corporal para o efeito DIBL sublimiar
JSWcorrente de saturação da parede lateral por unidade de comprimento
K1coeficiente de efeito corporal de primeira ordem
K2coeficiente de efeito corporal de segunda ordem
K3coeficiente de largura estreita
K3Bcoeficiente de efeito corporal de K3
KETAcoeficiente de viés corporal do efeito de carga a granel
FIOparâmetro de ajuste de deslocamento de comprimento de IV sem viés
FATORfator de oscilação do limiar
NGATEconcentração de dopagem do portão poli
NLXparâmetro de doping lateral não uniforme
PCLMparâmetro de modulação do comprimento do canal
PDIBLC1primeiro parâmetro de correção do efeito DIBL da resistência de saída
PDIBLC2parâmetro de correção do efeito DIBL da resistência da segunda saída
PDIBCBcoeficiente de efeito corporal do parâmetro de correção DIBL
PRWBcoeficiente de efeito corporal de RDSW
PRWGcoeficiente de efeito gate-bias de RDSW
PSCBE1parâmetro atual do efeito do corpo do primeiro substrato
PSCBE2parâmetro atual do efeito do corpo do segundo substrato
PVAGdependência da porta da tensão adiantada
RDSWresistência parasitária por unidade de largura
U0mobilidade em Temp = TNOM
UAcoeficiente de degradação da mobilidade de primeira ordem
UBcoeficiente de degradação da mobilidade de segunda ordem
UCefeito corporal do coeficiente de degradação da mobilidade
VBMviés corporal máximo aplicado no cálculo da tensão limiar
VOFFtensão de offset na região do sublimiar em grandes W e L
VSATvelocidade de saturação em Temp = TNOM
VTH0tensão limite @ Vbs = 0 para L grande
W0parâmetro de largura estreita
INVERNOparâmetro de ajuste de compensação de largura de IV sem viés
WRdeslocamento de largura do cálculo de Weff para Rds
CFcapacitância do campo de franja
CKAPPAcoeficiente de capacidade de sobreposição da região levemente dopada
CLCtermo constante para o modelo de canal curto
CLEtermo exponencial para o modelo de canal curto
CGDLa região do portão de drenagem dopada com luz sobrepõe a capacitância
CGSLregião da fonte-porta dopada por luz sobrepõe-se à capacitância
CJSWGcapacitância da junção da parede lateral do portão de fonte / dreno por largura de unidade
DLCparâmetro de ajuste de offset de comprimento do CV
DWCparâmetro de ajuste de deslocamento de largura do CV
MJSWGcoeficiente de classificação da capacitância da junção da parede lateral do portão de fonte / dreno
PBSWpotencial interno da junção lateral da fonte / dreno
PBSWGpotencial interno da junção da parede lateral do portão de fonte / dreno
VFBCVparâmetro de tensão de banda plana (apenas para CAPMOD = 0)
XPARTsinalizador de taxa de particionamento de cobrança
LMAXcomprimento máximo do canal
LMINcomprimento mínimo do canal
WMAXlargura máxima do canal
WMINlargura mínima do canal
EFexpoente de cintilação
EMcampo de saturação
NOIAparâmetro de ruído A
NOIBparâmetro de ruído B
NOICparâmetro de ruído C
ELMElmore constante do canal
GAMA1coeficiente de efeito corporal próximo à superfície
GAMA2coeficiente de efeito corporal a granel
NCHconcentração de dopagem do canal
NSUBconcentração de dopagem do substrato
TOXespessura do óxido de porta
VBXVbs em que a região de depleção = XT
XJprofundidade da junção
XTprofundidade de doping
ATcoeficiente de temperatura para velocidade de saturação
KT1coeficiente de temperatura para tensão limite
KT1Ldependência do comprimento do canal do coeficiente de temperatura para a tensão limite
KT2coeficiente de viés corporal do efeito da temperatura da tensão limiar
NJcoeficiente de emissão de junção
PRTcoeficiente de temperatura para RDSW
TNOMtemperatura na qual os parâmetros são extraídos
UA1coeficiente de temperatura para UA
UB1coeficiente de temperatura para UB
UC1coeficiente de temperatura para UC
UTEexpoente da temperatura da mobilidade
XTIcoeficiente do expoente da temperatura da corrente de junção
LLcoeficiente de dependência de comprimento para deslocamento de comprimento
LLNpotência da dependência do comprimento para o deslocamento do comprimento
LWcoeficiente de dependência da largura para o deslocamento do comprimento
LWLcoeficiente de comprimento e largura termo cruzado para deslocamento do comprimento
VSpotência da dependência da largura para o deslocamento do comprimento
WLcoeficiente de dependência de comprimento para deslocamento de largura
WLNpoder da dependência do comprimento do deslocamento da largura
WWcoeficiente de dependência de largura para deslocamento de largura
WWLcoeficiente de comprimento e largura termo cruzado para deslocamento da largura
WWNspoder da largura dependência do deslocamento da largura

O parâmetro OFF não é suportado em PSPice.

BSIM3 é o modelo de nível 8 em LT e

Exemplo:

M1 14 2 13 0 PNOM L = 25u W = 12u

M13 15 3 0 0 NSFORTE

M16 17 3 0 0 NX M = 2 DESLIGADO

M28 0 2 100 100 NWEAK L = 33u W = 12u

+ AD = 288p AS = 288p PD = 60u PS = 60u NRD = 14 NRS = 24 NRG = 10 NRB = 0.5

N - Entrada Digital

N

+

+ DGTLNET =

+

+ [IS = estado inicial]

ParâmetroDescrição
OMScapacitância para o nó de alto nível
CLOcapacitância para o nó de baixo nível
S0NAME..S19NAMEabreviação de caracteres do estado 0..19
S0TSW..S19TSWestado 0..19 tempo de comutação
S0RLO..S19RLOestado 0..19 de resistência ao nó de baixo nível
S0RHI..S19RHIestado 0..19 de resistência ao nó de alto nível

Dispositivo N não existe em LT e SImetrix

Exemplo:

N1 ANALÓGICO DIGITAL_GND DIGITAL_PWR DIN74

+ DGTLNET = DIGITAL_NODE IO_STD

NRESET 7 15 16 FROM_TTL

O - Saída Digital

O

+ DGTLNET =

ParâmetroDescrição
ALTERAR SOMENTE0: escreva cada timestep, 1: escreva mediante alteração
CARREGARcapacitor de saída
RLOADresistor de saída
S0NAME..S19NAMEabreviação de caracteres do estado 0..19
S0VLO..S19VLOestado 0..19 tensão de baixo nível
S0VHI..S19VHIestado 0..19 tensão de alto nível
SXNAMEestado aplicado quando a tensão do nó da interface cai fora de todas as faixas

O dispositivo define uma linha de transmissão com perdas no LTSpice e Simetrix.

Exemplo:

O12 ANALOG_NODE DIGITAL_GND DO74 DGTLNET = DIGITAL_NODE IO_STD

OVCO 17 0 TO_TTL

Q - Transistor Bipolar

Formatos Gerais:

Q

+ [substrato] [valor da área] [OFF]

Q declara um transistor bipolar em PSPICE. O transistor é modelado como um transistor intrínseco com resistências ôhmicas em série com a base, o coletor (RC / {valor da área}) e com o emissor (RE / {valor da área}).  {substrato} nó é opcional, o valor padrão é terra. {valor da área} é opcional (usado para dimensionar dispositivos), o padrão é 1. Os parâmetros ISE e ISC pode ser definido como maior que 1. Nesse caso, eles se tornam multiplicadores de IS (isto é, ISE * IS).

O parâmetro OFF não é suportado em PSPice.

Nível 1: Modelo Gummel-Poon

ParâmetroDescrição
AFExpoente de ruído de cintilação
BFbeta avançado máximo ideal
BRbeta reverso máximo ideal
CJCcapacitância pn de polarização zero do coletor de base
CJEcapacitância pn de polarização zero do emissor-base
CJS capacitância pn de polarização zero do coletor-substrato
EGtensão bandgap (altura da barreira)
FCcoeficiente de capacitor de depleção de polarização direta
IKFcanto para roll off atual beta alta corrente
IKRcanto para reversão de alta corrente beta reversa
IScorrente de saturação pn
ISCcoeficiente de saturação do vazamento do coletor de base
ISEcorrente de saturação de vazamento do emissor base
ISScorrente de saturação do substrato pn
KFCoeficiente de ruído de cintilação
extensão mjccoeficiente de classificação do coletor de base pn
MJEcoeficiente de classificação do emissor-base pn
MJScoeficiente de classificação do coletor-substrato pn
NCcoeficiente de emissão de vazamento do coletor de base
NEcoeficiente de emissão de vazamento do emissor base
NFcoeficiente de emissão atual para a frente
NRcoeficiente de emissão de corrente reversa
NScoeficiente de emissão do substrato pn
TFPfase em excesso a 1 / (2 * PI * TF) Hz.
RBresistência à base de polarização zero (máxima)
RBMresistência básica mínima 
RCresistência ôhmica do coletor
REresistência ôhmica do emissor
TFtempo de trânsito direto ideal
TRtempo de trânsito reverso ideal
VAFtensão adiantada
VARTensão adiantada reversa
VJCcoletor de base construído em potencial
VJEemissor base construído em potencial
VJSsubstrato coletor construído em potencial
VTFdependência de tempo de trânsito no VBC
XCJCfração de CJC conectada interna à RB
XTBcoeficiente de temperatura de polarização direta e reversa
XTFNamecoeficiente de dependência da polarização do tempo de trânsito
XTIExpoente do efeito de temperatura IS

Exemplo:

T1 14 2 13 PNPNOM

Q13 15 3 0 1 NPNSTRONG 1.5

Q7 VC 5 12 [SUB] LATPNP

QN5 1 2 3 QX DESLIGADO

R - Resistor

Formatos Gerais:

R <+ nó> <- nó> [nome do modelo] 

+ [TC = [, ]]

<+ nó> e <- nó> defina a polaridade do resistor em termos da queda de tensão através dele.  

{nome do modelo} é opcional e, se não incluído, | valor | é a resistência em ohms. E se [nome do modelo] é especificado e TCE não for especificado, a resistência será dada por:

Rtot = | valor | * R * [1 + TC1 * (T-Tnom)) + TC2 * (T-Tnom)2]

onde RTC1TC2 são descritos abaixo.  Rtot é a resistência total.  V é a tensão no resistor.  T é a temperatura de simulação. E Tnom é a temperatura nominal (27 ° C, a menos que esteja na caixa de diálogo Analysis.Set Analysis)

If TCE é especificado, então a resistência é dada por:

Rtot = | valor | * R * 1.01(TCE * (T-Tnom))

 pode ser positivo ou negativo.

ParâmetroDescrição
Rmultiplicador de resistência
TC1coeficiente de temperatura linear
TC2coeficiente de temperatura quadrático
TCEcoeficiente de temperatura exponencial

Exemplo:

RLOAD 15 0 2K

R2 1 2 2.4E4 TC = 0.015, -0.003

RA34 3 33 RMOD 10K

S - Chave controlada por tensão

Formatos Gerais:

S <+ switch node> <- switch node> 

+ <+ nó de controle> <- nó de controle> | 

S denota uma chave controlada por tensão. A resistência entre <+ nó de mudança> e <- mudar de nó> depende da diferença de tensão entre <+ nó de controle> e <- nó de controle>. A resistência varia continuamente entre RON e ROFF.

RON e ROFF deve ser maior que zero e menor que GMIN (definido no .OPÇÕES comando). Um resistor de valor 1 / GMIN está conectado entre os nós de controle para impedir que eles flutuem. Para interruptor de histerese VT, MV deve ser usado de outra forma VON, VOFF

ParâmetroDescrição
RONna resistência 
ROFFfora da resistência
DEtensão de controle para estado
VOFFtensão de controle para estado desligado
VTtensão de controle de limiar
VHtensão de controle de histerese

Exemplo:

S12 13 17 2 0 SMOD

SESET 5 0 15 3 RELÉ

T - Linha de Transmissão

Formatos Gerais:

T <+ A porta> <- A porta> <+ B porta> <- B porta>

+ Z0 = [TD = ] [F = [NL = ]]

+ IC =

T <+ A porta> <- A porta> <+ B porta> <- B porta>

+ LEN = R = L =

+ G = C =

T define uma linha de transmissão de 2 portas. O dispositivo é uma linha de atraso ideal bidirecional. As duas portas são A e B com suas polaridades dadas pelo + or - placa. O primeiro formato descreve uma linha de transmissão sem perdas e o segundo descreve uma linha de transmissão com perdas.

Se você definir uma linha com perdas, pelo menos dois dos parâmetros R, L, G, C devem ser especificados e devem ser diferentes de zero. As combinações suportadas são: LC, RLC, RC, RG. RL não suportado e nonyeo G expext (RG) também não suportado.

A linha de transmissão com perdas pode ser definida com um dispositivo O usando os mesmos parâmetros em LTSpice e SImetrix

Exemplo:

T1 1 2 3 4 Z0 = 220 TD = 115ns

T2 1 2 3 4 Z0 = 220 F = 2.25MEG

T3 1 2 3 4 Z0 = 220 F = 4.5MEG NL = 0.5

T4 1 2 3 4 LEN = 1 R = 311 L = 0.378u G = 6.27u C = 67.3p

W - Chave controlada por corrente

Formatos Gerais:

W <+ switch node> <- switch node> 

W denota uma chave controlada por corrente. A resistência entre <+ nó de mudança> e <- mudar de nó> depende da corrente que flui através da fonte de controle . A resistência varia continuamente entre RON e ROFF.

RON e ROFF deve ser maior que zero e menor que GMIN (definido no .OPÇÕES comando). Um resistor de valor 1 / GMIN é conectado entre os nós de controle para evitar que flutuem. Para interruptor de histerese VT, MV deve ser usado de outra forma VON, VOFF

ParâmetroDescrição
RONna resistência 
ROFFfora da resistência
IONtensão de controle para estado
DESLIGADOtensão de controle para estado desligado
ITtensão de controle de limiar
IHtensão de controle de histerese

O interruptor controlado por corrente não está disponível no SIMetrix

Exemplo:

W12 13 17 VC WMOD

WRESET 5 0 VRESET RELÉ

X - Chamada de Sub-circuito

Formatos Gerais:

X [nó]* [PARAMS: < = > *]

X chama o sub-circuito .   em algum lugar deve ser definido pelo .SUBCKT e .FIM comando. O número de nós (dado por [nó]*) deve ser consistente. O subcircuito referenciado é inserido no circuito dado com os nós dados substituindo os nós do argumento na definição. As chamadas de subcircuito podem ser aninhadas, mas não podem se tornar circulares.

Exemplo:

X12 100 101 200 201 DIFAMP

XBUFF 13 15 UNITAMP

XFOLLOW IN OUT VCC VEE OUT OPAMP

XFELT 1 2 PARÂMETROS DO FILTRO: CENTRO = 200kHz

U - Primitivos Digitais

você [( *)]

+

+ *

+

+ [MNTYMXDLY = ]

+ [IO_LEVEL = ]

As primitivas suportadas são: BUF, INV, XOR, NXOR E, NAND, OU, NOR, BUFA, INVA, XORA, NXORA, ANDA, NANDA, ORA, NORA, BUF3, BUF3A, JKFF, DFF, SRFF, DLTCH

Matrizes de porta não são suportadas no modo misto.

você STIM ( , )

+

+ *

+

+ [IO_LEVEL = ]

+ [TIMESTEP = ]

Parâmetros do modelo de sincronização do portão

ParâmetroDescrição
TPLHMNatraso: baixo para alto, min
TPLHTYatraso: baixo para alto, típico
TPLHMXatraso: baixo para alto, max
TPHLMNatraso: alto para baixo, min
TPHLTYatraso: alto para baixo, típico
TPHLMXatraso: alto para baixo, max

Parâmetros do modelo de temporização da trava

ParâmetroDescrição
THDGMNEspera: s / r / d após a borda do portão, min
THGTYHold: s / r / d após a borda do portão, típico
THDGMXHold: s / r / d após a borda do portão, max
TPDQLHMNAtraso: s / r / d para q / qb baixo para hi, min
TPDQLHTYAtraso: s / r / d para q / qb baixo para hi, típico
TPDQLHMXAtraso: s / r / d para q / qb baixo para hi, max
TPDQHLMNAtraso: s / r / d para q / qb oi para baixo, min
TPDQHLTYAtraso: s / r / d para q / qb oi para baixo, típico
TPDQHLMXAtraso: s / r / d para q / qb hi para low, max
TPGQLHMNAtraso: gate para q / qb baixo para hi, min
TPGQLHTYAtraso: gate para q / qb baixo para hi, típico
TPGQLHMXAtraso: gate para q / qb baixo para hi, max
TPGQHLMNAtraso: portão para q / qb oi para baixo, min
TPGQHLTYAtraso: gate para q / qb hi to low, típico
TPGQHLMXAtraso: gate to q / qb hi to low, max
TPPCQLHMNAtraso: preb / ​​clrb para q / qb baixo para hi, min
TPPCQLHTYAtraso: preb / ​​clrb para q / qb baixo para oi, típico
TPPCQLHMXAtraso: preb / ​​clrb para q / qb baixo para hi, max
TPPCQHLMNAtraso: preb / ​​clrb para q / qb oi para baixo, min
TPPCQHLTYAtraso: preb / ​​clrb para q / qb oi para baixo, típico
TPPCQHLMXAtraso: preb / ​​clrb para q / qb hi para low, max
TUDGMNConfiguração: s / r / d para borda da porta, min
TUDGTYConfiguração: s / r / d para borda da porta, típico
TUDGMXConfiguração: s / r / d para borda da porta, max
TSUPCGHMNConfiguração: preb / ​​clrb oi até a borda do portão, min
TSUPGHTYConfiguração: preb / ​​clrb hi to gate edge, típico
TSUPCGMXConfiguração: preb / ​​clrb oi até a borda da porta, max
TWPCLMNLargura mínima de preb / ​​clrb baixa, min
TWPCLTYLargura mínima de preb / ​​clrb baixa, típica
TWPCLMXLargura mínima de preb / ​​clrb baixa, máxima
TWGHMNLargura mínima do portão oi, min
TWGHTYLargura mínima do portão oi, típica
TWGHMXLargura mínima do portão oi, max

Parâmetros do modelo de temporização do FF acionado pela borda

ParâmetroDescrição
THDCLKMNEspera: j / k / d após borda clk / clkb, min
THDCLKTYEspera: j / k / d após a borda clk / clkb, típica
THDCLKMXReter: j / k / d após borda clk / clkb, max
TPCLKQLHMNAtraso: clk / clkb edge para q / qb baixo para hi, min
TPCLKQLHTYAtraso: clk / clkb edge para q / qb low to hi, típico
TPCLKQLHMXAtraso: clk / clkb edge para q / qb baixo para hi, max
TPCLKQHLMNAtraso: clk / clkb edge para q / qb hi to low, min
TPCLKQHLTYAtraso: clk / clkb edge para q / qb hi to low, típico
TPCLKQHLMXAtraso: clk / clkb edge para q / qb hi to low, max
TPPCQLHMNAtraso: preb / ​​clrb para q / qb baixo para hi, min
TPPCQLHTYAtraso: preb / ​​clrb para q / qb baixo para oi, típico
TPPCQLHMXAtraso: preb / ​​clrb para q / qb baixo para hi, max
TPPCQHLMNAtraso: preb / ​​clrb para q / qb oi baixo, min
TPPCQHLTYAtraso: preb / ​​clrb para q / qb oi baixo, min
TPPCQHLMXAtraso: preb / ​​clrb para q / qb oi baixo, min
TSUCLKMNConfiguração: j / k / d para borda clk / clkb, min
TSUCLKTYConfiguração: j / k / d para clk / clkb edge, típico
TUDCLKMXConfiguração: j / k / d para clk / clkb edge, max
TSUPCCLKHMNConfiguração: preb / ​​clrb oi para clk / clkb edge, min
TSUPCCLKHTYConfiguração: preb / ​​clrb hi para clk / clkb edge, típico
TSUPCCLKHMXConfiguração: preb / ​​clrb oi para clk / clkb edge, max
TWPCLMNLargura mínima de preb / ​​clrb baixa, min
TWPCLTYLargura mínima de preb / ​​clrb baixa, típica
TWPCLMXLargura mínima de preb / ​​clrb baixa, máxima
TWCLKLMNLargura mínima clk / clkb baixa, min
TWCLKLMNLargura mínima clk / clkb baixa, típica
TWCLKLMNLargura mínima clk / clkb baixa, máxima
TWCLKHMNLargura mínima clk / clkb oi, min
TWCLKHTYLargura mínima clk / clkb oi, típica
TWCLKHMXLargura mínima clk / clkb oi, max
TSUCECLKMNConfiguração: ativação do relógio para clk edge, min
TSUCECLKTYConfiguração: o clock permite clk edge, típico
TSUCECLKMXConfiguração: ativação do relógio para clk edge, max
THCECLKMNHold: habilitar relógio após borda clk, min
THCECLKTYHold: ativar o relógio após clk edge, típico
TCECLKMXHold: habilitar relógio após borda clk, maxN

Parâmetros do modelo de entrada / saída

ParâmetroDescrição
DRVHResistência de alto nível de saída
DRVLResistência de baixo nível de saída
DRVZResistência a vazamentos no estado Z de saída
INLDCapacitância de carga de entrada
EM RResistência à carga de entrada
EXCLUÍDOCapacitância de carga de saída
TPWRTLimiar de rejeição da largura de pulso
TSTOREMNTempo mínimo de armazenamento para a rede ser simulada como cobrança
TSWHL1Tempo de comutação alto a baixo para DtoA1
TSWHL2Tempo de comutação alto a baixo para DtoA2
TSWHL3Tempo de comutação alto a baixo para DtoA3
TSWHL4Tempo de comutação alto a baixo para DtoA4
TSWLH1Tempo de comutação baixo para alto para DtoA1
TSWLH2Tempo de comutação baixo para alto para DtoA2
TSWLH3Tempo de comutação baixo para alto para DtoA3
TSWLH4Tempo de comutação baixo para alto para DtoA4
ATOD1Nome do subcircuito da interface AtoD de nível 1
ATOD2Nome do subcircuito da interface AtoD de nível 2
ATOD3Nome do subcircuito da interface AtoD de nível 3
ATOD4Nome do subcircuito da interface AtoD de nível 4
DTOA1Nome do subcircuito da interface DtoA de nível 1
DTOA1Nome do subcircuito da interface DtoA de nível 2
DTOA1Nome do subcircuito da interface DtoA de nível 3
DTOA1Nome do subcircuito da interface DtoA de nível 4
DIGPOWERNome do subcircuito da fonte de alimentação

O dispositivo U não está disponível no LT e SIMetrix. Embora exista suporte à simulação digital nos dois simuladores. O SIMetrix está usando uma versão avançada do XSPICE mecanismo digital, enquanto o LT possui seu próprio suporte digital. Ambos os simuladores usam um dispositivo A para representar um primitivo digital.

Exemplo:

U1 NAND (2) $ G_DPWR $ G_DGND 1 2 10 D0_GATE IO_DFT

U2 JKFF (1) $ G_DPWR $ G_DGND 3 5 200 3 3 10 2 D_293ASTD IO_STD

U3 INV $ G_DPWR $ G_DGND IN OUT D_INV IO_INV MNTYMXDLY = 3 IO_LEVEL = 2

Y - Primitivas de Tina

Y *

Os nomes de modelos suportados são: VCO, SINE_VCO, TRI_VCO, SQUARE_VCO, AMPLI, AMPLI_GR, COMP, COMP_GR, COMP_GR_2INP, COMP_GR_3INP, COMP_GR_4INP, COMP_GR_NINP, CNTN_UDSR

Parâmetros do modelo VCO, SINE_VCO, TRI_VCO, SQUARE_VCO

ParâmetroDescrição
CENTFREQ
CONVGAIN
PHI0
OUTAMPLI
SAÍDAS
INLLIM
INULIM
LIMRNG
DEVER CICLO
TEMPO DE SUBIDA
TEMPO DE OUTONO
MODA

Parâmetros do modelo AMPLI

ParâmetroDescrição
GANHO
reno
DERROTA
FONTE DE ROTA
ROUTSINK
IOUTMAX
IOUTMAXFONTE
IOUTMAXSINK
IS0
TAXA DE GIRO
SLEWRATERISE
MORTE DE QUEDA
FPOLE1
FPOLE2
VDROPOH
VDROPOL
VOFFSNOM
TCOVOFFS
IBIASNOM
IOFFSNOM
CURDOUB
DESCONTOS

Parâmetros do modelo AMPLI_GR

ParâmetroDescrição
GANHO
reno
DERROTA
FONTE DE ROTA
ROUTSINK
IOUTMAX
IOUTMAXFONTE
IOUTMAXSINK
TAXA DE GIRO
SLEWRATERISE
MORTE DE QUEDA
FPOLE1
FPOLE2
VOUTH
VOUTL
VOFFSNOM
TCOVOFFS
IBIASNOM
IOFFSNOM
CURDOUB
DESCONTOS

Parâmetros do modelo COMP

ParâmetroDescrição
GANHO
reno
DERROTA
FONTE DE ROTA
ROUTSINK
IOUTMAX
IOUTMAXFONTE
IOUTMAXSINK
IS0
TAXA DE GIRO
SLEWRATERISE
MORTE DE QUEDA
ATRASO
ATRASO
ATRASO
VTHRES
VHYST
VDROPOH
VDROPOL
VOFFSNOM
TCOVOFFS
IBIASNOM
IOFFSNOM
CURDOUB
DESCONTOS

Parâmetros do modelo COMP_GR

ParâmetroDescrição
GANHO
reno
DERROTA
FONTE DE ROTA
ROUTSINK
IOUTMAX
IOUTMAXFONTE
IOUTMAXSINK
TAXA DE GIRO
SLEWRATERISE
MORTE DE QUEDA
ATRASO
ATRASO
ATRASO
VTHRES
VHYST
VOUTH
VOUTL
VOFFSNOM
TCOVOFFS
IBIASNOM
IOFFSNOM
CURDOUB
DESCONTOS

Parâmetros do modelo COMP_GR_2INP, COMP_GR_3INP, COMP_GR_4INP, COMP_GR_NINP

ParâmetroDescrição
GANHO
reno
DERROTA
FONTE DE ROTA
ROUTSINK
IOUTMAX
IOUTMAXFONTE
IOUTMAXSINK
TAXA DE GIRO
SLEWRATERISE
MORTE DE QUEDA
ATRASO
ATRASO
ATRASO
VOUTH
VOUTL
VOFFSNOM
TCOVOFFS
IBIASNOM
IOFFSNOM
CURDOUB
DESCONTOS
DCTRANSFERÊNCIA
FUNÇÃO LÓGICA
VTHRES1..VTHRES4
VHYST1..VHYST4

Parâmetros do modelo CNTN_UDSR

ParâmetroDescrição
INTYP
OUTTYP
A
IOMODELO
DELL2H
DELH2L
ROBUSTO
MAXCONTAR
CNT_MODE
FORA_MODO

Exemplo:

Y1 IN1p IN1m IN2p IN2m Out Gnd Comp

FONTES - Descrições de fontes transitórias

Existem vários tipos de fontes disponíveis para declarações transitórias.  

EXP - Fonte Exponencial

Formato geral:

EXP (| v1 | | v2 | | td1 | | td2 | | tc1 | | tc2 |)

EXP forma faz com que a tensão seja | v1 | pela primeira vez | td1 | segundos. Então, ele cresce exponencialmente a partir de | v1 | para | v2 | com tempo constante | tc1 |. O crescimento dura | td2 | - | td1 | segundos. Então a tensão diminui de | v2 | para | v1 | com tempo constante | tc2 |.

ParâmetroDescrição
v1tensão inicial
v2tensão de pico
td1aumento do tempo de atraso
tc1tempo de subida constante
td2tempo de atraso da queda
tc2tempo de queda constante

PULSO - Fonte de pulso

Formato geral:

PULSO (| v1 | | v2 | | td | | tr | | tf | | pw | | por |)

O pulso gera uma tensão para começar em | v1 | e segure lá por | td | segundos. Então, a tensão vai linearmente de | v1 | para | v2 | para o próximo | tr | segundos. A tensão é então mantida em | v2 | para | pw | segundos. Depois disso, ele muda linearmente de | v2 | para | v1 | in | tf | segundos. Fica em | v1 | pelo período remanescente do período | por |.

ParâmetroDescrição
v1tensão inicial
v2tensão pulsada
tdtempo de atraso
trtempo de subida
tftempo de outono
pwlargura do pulso
parasignificativo

PWL - Fonte linear por partes

Formato geral:

Pwl 

+ [TIME_SCALE_FACTOR =valor>]

+ [VALUE_SCALE_FACTOR =valor>]

+ (pontos_canto)*

onde corner_points são:

        ( , ) para especificar um ponto

REPETIR PARA (corner_points) *

ENDREPEAT para repetirn> vezes

REPETIR PARA SEMPRE (corner_points) *

ENDREPEAT para repetir para sempre

PWL descreve um formato linear por partes. Cada par de tempo / tensão (ou seja, | tn || vn |) especifica um canto da forma de onda. A tensão entre os cantos é a interpolação linear das tensões nos cantos.

ParâmetroDescrição
tnhora da esquina
vntensão de canto

Este formato de PWL é chamado PWLS no SIMetrix.

SFFM - Fonte FM de frequência única

Formato geral:

SFFM (| voff | | vampl | | fc | | mod | | fm |)

SFFM faz com que o sinal de tensão siga:       

v = voff + vamp * sin (2π * fc * t + mod * sin (2π * fm * t))

onde uauvampirofcmodfm são definidos abaixo.  t é hora.

ParâmetroDescrição
uautensão de offset
vampirotensão de amplitude de pico 
fcfreqüência de portadora
modíndice de modulação
fmfrequência de modulação

SIN - Fonte Sinusoidal

Formato geral:

SIN (| voff | | vampl | | freq | | td | | df | | phase |)

PECADO cria uma fonte sinusoidal. O sinal se mantém em | vo | para | td | segundos. Então, a tensão se torna uma onda senoidal exponencialmente amortecida descrita por:

  v = voff + vampl * sin (2π * (freq * (t - td) - fase / 360)) * e- ((t - td) *df)

ParâmetroDescrição
uautensão de offset
vampirotensão de amplitude de pico 
frequenciafreqüência de portadora
tdatraso
dffator de amortecimento
fasefase

Exemplo:

IRAMP 10 5 EXP (1 5 1 0.2 2 0.5)

VSW 10 5 PULSO (1 5 1 0.1 0.4 0.5 2)

v1 1 2 PWL (0,1) (1.2,5) (1.4,2) (2,4) (3,1)

v2 3 4 REPETIÇÃO PWL PARA 5 (1,0) (2,1) (3,0) ENDREPEAT

v4 7 8 PWL TIME_SCALE_FACTOR = 0.1

+ REPETIR PARA SEMPRE (1,0) (2,1) (3,0) ENDREPEAT

V34 10 5 SFFM (2 1 8 4 1)

ISIG 10 5 SIN (2 2 5 1 1 30)

FUNÇÕES - Funções na Expressão

As funções suportadas são: ABS, ACOS, ACOSH, ARCTAN, ASIN, ASINH, ATAN, ATAN2, ATANH, CEIL, COS, COSH, DDT, EXP, PISO, SE, IMG, LIMITE, LOG, LOG10, M, MAX, MIN, P, PWR, PWRS, R, SDT, SGN, SIN, SINH, SQRT, STP, MESA, TAN, TANH.

CEIL, TABLE não está disponível no SIMetrix

STP não está disponível no LT

IMG, M, P, R não está disponível no SIMetrix e LT

Exemplo:

FUNÇÃOSIGNIFICADOCOMO
ABS (x)| x |
ACOS (x)arccosina de x-1.0 <= x <= +1.0
ACOSH (x)cosseno hiperbólico inverso de xresultar em radianos, x é uma expressão
ARCTAN (x)tan-1 (x)resultar em radianos
ASIN (x)arco de seno de x-1.0 <= x <= +1.0
ASINH (x)Seno hiperbólico inverso de xresultar em radianos, x é uma expressão
ATAN (x)tan-1 (x)resultar em radianos
ATAN2 (y, x)arco de (s / x)resultar em radianos
ATANH (x)Bronzeado hiperbólico inverso de xresultar em radianos, x é uma expressão
COS (x)cos (x)x em radianos
COSH (x)cosseno hiperbólico de xx em radianos
DDT (x)derivada temporal de xsomente análise transitória
SE (t, x, y)x se t = VERDADEIRO y se t = FALSOé uma expressão booleana avaliada como TRUE ou FALSE e pode incluir operadores lógicos e relacionais X e Y são valores ou expressões numéricos.
IMG (x)parte imaginária de xretorna 0.0 para números reais
LIMIT (x, min, max) o resultado é min se x <min, max se x> max e x caso contrário
LOG (x)ln (x)
LOG10 (x)log (x)
M (x)magnitude de xisso produz o mesmo resultado que o ABS (x)
MÁX (x, y)máximo de x e y
MIN (x, y)mínimo de x e y
P (x)fase de x
PWR (x, y)| x | y
PWRS (x, y)+ | x | y (se x> 0), - | x | y (se x <0)
R (x)parte real de x
SDT (x)integral de tempo de xsomente análise transitória
SGN (x)função signum
SIN (x)sin (x)x em radianos
SINH (x)seno hiperbólico de xx em radianos
STP (x)1 se x> = 0.0 0 se x <0.0A função de etapa da unidade pode ser usada para suprimir um valor até que um determinado período de tempo tenha passado.
SQRT (x)x1 / 2
TAN (x)bronzeado (x)x em radianos
TANH (x)tangente hiperbólica de xx em radianos
TABELA (x, x1, y1, x2, y2,… xn, yn) Resultado é o valor y correspondente a x, quando todos os pontos xn, yn são plotados e conectados por linhas retas. Se x for maior que o máximo xn, o valor será o yn associado ao maior xn. Se x for menor que o menor xn, o valor será o yn associado ao menor xn.
teto (arg) Retorna um valor inteiro. O argumento para esta função deve ser um valor numérico ou uma expressão que seja avaliada como um valor numérico. E se arg é um número inteiro, o valor de retorno é igual ao valor do argumento. E se arg é um valor não inteiro, o valor de retorno é o número inteiro mais próximo maior que o valor do argumento.
chão (arg) Retorna um valor inteiro. O argumento para esta função deve ser um valor numérico ou uma expressão que seja avaliada como um valor numérico. E se arg é um número inteiro, o valor de retorno é igual ao valor do argumento. E se arg é um valor não inteiro, o valor de retorno é o número inteiro mais próximo menor que o valor do argumento.
    X
    Bem vindo ao DesignSoft
    Permite o bate-papo, se precisar de ajuda para encontrar o produto certo ou precisar de suporte.
    wpChatIcon