СистемВерилог Симулатион

Верилог А и АМС симулација

Jump to TINA Main Page & General Information 

СистемВерилог је проширење Верилог језика за опис хардвера, који је такође укључен у ТИНА.
У ТИНА-и СистемВерилог се аутоматски преводи у СистемЦ који се може компајлирати са МС Висуал Студио-ом који пружа веома брз и оптимизован код. Можете пронаћи неколико примера кола у фасцикли Примери\ХДЛ\СистемВерилог ТИНА.

Пример СистемВерилог:

Коло генератора таласа са СистемВерилог
Коло генератора таласа са СистемВерилог-ХДЛ Едитор имаге1
Коло генератора таласа са СистемВерилог-ХДЛ Едитор имаге2
Дијаграм кола генератора таласа - прелазни дијаграм1
Прелазни дијаграм 2-Углађен сигнал након нископропусног аналогног филтрирања
    X
    Добродошли ДесигнСофт
    Омогућује разговор ако вам је потребна помоћ око проналаска правог производа или вам је потребна подршка.
    впЦхатИцон