Симулација на SystemVerilog

Verilog A и AMS симулација

Jump to TINA Main Page & General Information 

SystemVerilog е продолжување на јазикот за опис на хардверот Verilog, исто така вклучен во TINA.
Во TINA SystemVerilog автоматски се преведува на SystemC што може да се компајлира со MS Visual Studio обезбедувајќи многу брз и оптимизиран код. Можете да најдете неколку примери на кола во папката Examples\HDL\SystemVerilog на TINA.

Пример SystemVerilog:

Коло на генератор на бранови со SystemVerilog
Коло на генератор на бранови со слика на уредувачот SystemVerilog-HDL1
Коло на генератор на бранови со слика на уредувачот SystemVerilog-HDL2
Коло на генератор на бранови-Преоден дијаграм1
Преоден дијаграм 2-Измазнет сигнал по нископропусно аналогно филтрирање
    X
    Добредојдовте на DesignSoft
    Овозможува разговор ако има потреба од помош за наоѓање на вистинскиот производ или ви треба поддршка.
    wpChatIcon