VHDL-AMS симулација

VHDL-AMS симулација во TINA вклучена во сите верзии

VHDL-AMS е продолжение на јазикот за опис на хардверот VHDL, исто така вклучен во TINA. Вклучува Aналог и Mixed-Sигнални екстензии (AMS) на чисто дигиталниот VHDL јазик со цел да се симулираат аналогни и мешани сигнални системи. Можете да најдете неколку примери на кола во папката Examples\HDL\VHDL-AMS на TINA.

Пример VHDL-AMS:

Симулација со VHDL-AMS коло
Симулација со VHDL-AMS: TINA HDL Editor image1
Симулација со VHDL-AMS: TINA HDL Editor image2
Симулација со VHDL-AMS: TINA HDL Editor image3
Симулација со VHDL-AMS: TINA HDL Editor image4
Симулација со VHDL-AMS Преоден дијаграм
X
Добредојдовте на DesignSoft
Овозможува разговор ако има потреба од помош за наоѓање на вистинскиот производ или ви треба поддршка.
wpChatIcon