Simulació de mode mixt

Spice - Simulació HDL - MCU

Simulació de mode mixt

Spice - Simulació HDL - MCU

Jump to TINA Main Page & General Information 

La versió TINA 8 i les versions anteriors inclouen un nou motor de simulació potent i potent. Es basa en el XSPICE algorisme de mode mixt, estès amb components MCU i VHDL. Als vostres circuits podeu barrejar lliurement qualsevol component analògic o digital de TINA, inclosos els microcontroladors (MCU) i les macros amb Spice o contingut VHDL. Podeu modificar aquests components al volant juntament amb el codi de les MCU. TINA analitzarà les parts analògiques analògiques, les parts digitals en digital i crearà automàticament les interfícies entre els components. Això garanteix la sincronització i la convergència ràpida.

Explorem alguns dels usos d’aquest mode a través d’uns quants exemples.

Generació de formes d’ona amb VHDL i Spice subcircuits

El següent circuit genera un senyal analògic o senyal de dent de serra en funció de l'estat del commutador SW-MODE esquerre.

Simulació de mode mixt, imatge 1

El quadre d’ona digital a l’esquerra del circuit inclou codi VHDL amb una taula de cerca (Sine_LUT ) per a l’ona sinusoïdal i un comptador per al senyal de dent de serra.

La part essencial del codi VHDL és:

 process(Reset, Clk) 
   begin 
        if (Reset = ‘1’) then 
               Wave <= (others => ‘0’); 
               LUT_index <= 0; 
   elsif rising_edge(Clk) then 
   if (Enable = ‘0’) then 
     Wave <= (others => ‘0’); 
   elsif (Sel = ‘0’) then 
     Wave <= Sine_LUT(LUT_index); 
       else 
         Wave <= conv_std_logic_vector(LUT_index,5); 
       end if;
       if (LUT_index = LUT_index_max) then 
         LUT_index <= 0; 
       else 
         LUT_index <= LUT_index + 1; 
       end if;
   end if; 
   end process; 
   d0 <= Wave(0); d1 <= Wave(1); d2 <= Wave(2); d3 <= Wave(3); d4 <= Wave(4);

La sortida digital del comptador es converteix en un senyal analògic al convertidor 5 bit DA de TINA que es mostra al centre del circuit.

La sortida d’ona sinusoïdal DAC s’ha de netejar amb un filtre de pas baix. Usarem un Spice opamp el model de TL081 en una configuració de filtre de pas baix Sallen i Key. Premeu el botó Enter Macro al diàleg de propietats i TINA obrirà la macro. Podeu revisar i, si cal, modificar el fitxer Spice codi dins de la macro.

A continuació, es mostren les últimes formes d’ona del circuit complet, incloses les cinc formes d’ona de sortida del comptador. SW_MODE es troba a l'estat Alt, seleccionant el senyal de dent de serra.

Si canvem el commutador SW-MODE a Low i executeu l'anàlisi Transient de nou, les formes d'ona són:

Per veure l'efecte del filtre analògic, suprimiu les corbes d0 a d4 del diagrama fent clic a les corbes i prement la tecla Del. 

Circuit SMPS controlat per MCU

El simulador de mode mixt de TINA no només permet MCUs, sinó també qualsevol peça lineal o no lineal a les biblioteques de TINA. Com a exemple, estudiem el següent circuit, que realitza un convertidor DC-DC, convertint 5V DC a 13V DC i operant en mode boost.

Les formes d’ona següents mostren com les parts analògiques i l’MCU interactuen a TINA.

Exemple de circuit mixt que consisteix en components analògics i digitals simples

    X
    M'alegra de tenir-te DesignSoft
    Permet xerrar si necessiteu ajuda per trobar el producte adequat o necessiteu assistència.
    la wpchatıco