Virtuální nástroje v TINA

Virtuální nástroje v TINA

Jump to TINA Main Page & General Information 

Můžete pracovat v simulované laboratoři elektroniky pomocí široké škály výkonných virtuálních nástrojů. Na rozdíl od laboratorních přístrojů však tyto nástroje pro simulaci obvodu nic nestojí a nevyžadují žádnou údržbu.

Digitální multimetr

Měření stejnosměrného a střídavého napětí a proudu, odporu a frekvence pomocí digitálního multimetru TINA. Multimetr můžete použít v ručním režimu nebo v režimu automatického přepínání.

funkční generátor

Generujte sinusové, čtvercové a trojúhelníkové tvary na prakticky jakékoli frekvenci. Výkonný režim zametání umožňuje zvolit frekvenci startu / zastavení, velikost kroku, dobu kroku a jednorázové nebo průběžné rozmítání.

Digitální generátor signálu

Pomocí digitálního generátoru signálu vytvořte libovolný počet libovolných digitálních signálů, které budou sloužit jako podněty pro váš logický obvod. Nastavte časování bez námahy pomocí vestavěného editoru s funkcí vkládání, opakování a mazání, stejně jako editací grafického kurzoru.

Skladovací osciloskop

Zobrazte analogové průběhy s multikanálovým analogovým osciloskopem TINA. Můžete zvolit externí nebo interní spouštění a různé režimy spouštění. Můžete také přesunout grafické kurzory přesně měřit napětí a čas.

Analyzátor signálu

Analyzátor signálu pracuje automaticky ve spojení s generátorem funkcí a analyzuje a zobrazuje amplitudové a fázové diagramy Bode, Nyquistovy diagramy a další.

Logický analyzátor

Ladění digitálního obvodu pomocí pokročilého analyzátoru logiky. Vyberte libovolný počet kanálů a uspořádejte je do skupin pro snadnější prohlížení. Můžete také definovat vzory spouštění tak, aby analyzátor zachytil kritický datový segment.

    X
    Vítejte v DesignSoft
    Umožňuje chat, pokud potřebujete pomoc při hledání správného produktu nebo potřebujete podporu.
    wpchatıco